TW201919151A - 半導體裝置結構的形成方法 - Google Patents

半導體裝置結構的形成方法 Download PDF

Info

Publication number
TW201919151A
TW201919151A TW107140118A TW107140118A TW201919151A TW 201919151 A TW201919151 A TW 201919151A TW 107140118 A TW107140118 A TW 107140118A TW 107140118 A TW107140118 A TW 107140118A TW 201919151 A TW201919151 A TW 201919151A
Authority
TW
Taiwan
Prior art keywords
layer
barrier
barrier layer
dielectric
metal
Prior art date
Application number
TW107140118A
Other languages
English (en)
Other versions
TWI775982B (zh
Inventor
吳家揚
張簡旭珂
王廷君
游詠晞
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201919151A publication Critical patent/TW201919151A/zh
Application granted granted Critical
Publication of TWI775982B publication Critical patent/TWI775982B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76892Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances modifying the pattern
    • H01L21/76894Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances modifying the pattern using a laser, e.g. laser cutting, laser direct writing, laser repair
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

提供半導體裝置結構的形成方法,此方法包含形成介電結構於電晶體上,形成第一凹陷於介電結構中,以及形成第一阻障層於第一凹陷的第一內壁上。第一阻障層具有第一開口位於介電結構的第一部分之上,且靠近第一凹陷的第一底表面之第一阻障層比靠近介電結構的頂表面之第一阻障層厚。此方法還包含經由第一開口移除第一部分,以形成第二凹陷於介電結構中,以及形成第二阻障層於第二凹陷的第二內壁上,第二阻障層具有第二開口於第二凹陷中。此方法也包含形成接點層於第一開口和第二開口中。

Description

半導體裝置結構的形成方法
本發明實施例係有關於半導體製造技術,且特別有關於具有阻障層的半導體裝置結構及其形成方法。
半導體積體電路工業已經歷快速的成長,在積體電路之材料和設計上的技術進展產生多個積體電路世代,每一個世代比先前的世代具有更小且更複雜的電路。然而,這些進展已經增加在積體電路之製程和製造上的複雜度。
在積體電路發展的過程中,隨著幾何尺寸(亦即利用製造過程可以產生的最小元件或線)縮減的同時,功能密度(亦即每一個晶片面積內互相連接的裝置數量)通常也在增加。這種尺寸縮減的製程通常藉由增加生產效率和降低伴隨的成本而提供好處。
然而,由於半導體裝置的部件尺寸(例如接點結構的直徑)持續縮減,其製造的製程也持續變得更難以進行。因此,形成尺寸越來越小且可靠的半導體裝置是一種挑戰。
根據一些實施例,提供半導體裝置結構的形成方法。 此方法包含形成介電結構於電晶體上,以及形成第一凹陷於介電結構中。此方法還包含形成第一阻障層於第一凹陷的第一內壁上,第一阻障層具有第一開口在介電結構的第一部分上,且靠近第一凹陷的第一底表面之第一阻障層比靠近介電結構的頂表面之第一阻障層厚。此方法也包含經由第一開口移除第一部分,以形成第二凹陷於介電結構中,以及形成第二阻障層於第二凹陷的第二內壁上,第二阻障層具有第二開口在第二凹陷中。此方法更包含形成接點層在第一開口和第二開口中,接點層、第一阻障層和第二阻障層一起形成接點結構,且接點結構位於電晶體上方並電性連接至電晶體。
根據一些實施例,提供半導體裝置結構的形成方法。 此方法包含形成介電結構於電晶體上,以及形成第一凹陷於介電結構中。此方法也包含形成第一阻障層於第一凹陷的第一內壁上,第一阻障層具有第一開口,第一阻障層由第一含金屬材料製成,且第一阻障層的厚度沿著從介電結構的頂表面到第一凹陷的底表面的方向增加。此方法還包含移除介電結構在第一開口底下的部分,以形成第二凹陷於介電結構中且在第一凹陷下方。此方法更包含形成第二阻障層於第一阻障層上和第二凹陷的第二內壁上,第二阻障層具有第二開口在第一凹陷和第二凹陷中,且第二阻障層由第二含金屬材料製成。此方法還包含形成接點層在第二開口中,接點層、第一阻障層和第二阻障層一起形成接點結構,且接點結構位於電晶體上方並電性連接至電晶體。
根據一些實施例,提供半導體裝置結構。此半導體裝置結構包含電晶體在基底上,以及介電結構在基底之上且覆蓋電晶體。此半導體裝置結構還包含接點結構穿過介電結構且電性連接至電晶體。此接點結構包含接點層、第一阻障層和第二阻障層,第一阻障層圍繞接點層,第二阻障層圍繞第一阻障層的第一上部,第一阻障層的第一下方部分直接接觸介電結構,且靠近電晶體的第二阻障層比靠近介電結構的頂表面的第二阻障層厚。
以下內容提供了許多不同實施例或範例,以實現本發明實施例所提供標的之不同部件(feature)。以下描述組件和配置方式的具體範例,以簡化本發明實施例。當然,這些僅僅是範例,而非意圖限制本發明實施例。舉例而言,在以下描述中提及於第二部件上方或其上形成第一部件,其可以包含第一部件和第二部件以直接接觸的方式形成的實施例,並且也可以包含在第一部件和第二部件之間形成額外的部件,使得第一部件和第二部件可以不直接接觸的實施例。此外,本發明實施例可在各個範例中重複參考標號及/或字母。此重複是為了簡化和清楚之目的,其本身並非用於指定所討論的各個實施例及/或配置之間的關係。
另外,為了容易描述本發明實施例之圖式中繪示說明的一個元件或部件與另一個元件或部件之間的關係,在此可以使用空間相關用語,例如“在…下方”、“在…底下”、“較低”、“在…上方”、”之上”、“較高”等衍生的空間相關用語。這些空間相關用語意欲涵蓋除了圖式描繪的方向以外,在使用或操作中的裝置之不同方位。設備可以用其他方向定位(旋轉90度或在其他方向),且在此描述中所使用的空間相關用語可以依此做相應的解讀。可以理解的是,在此描述的方法之前、期間和之後可以提供額外的操作,並且在此描述的一些操作對於方法的其他實施例而言可以被置換或消除。
可使用任何合適的方法圖案化出鰭結構,舉例而言,可以使用一或更多的微影製程圖案化出鰭結構,其包含雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合了微影和自對準製程,舉例而言,其使得產生的圖案所具有的間距比其他使用單一直接的微影製程能夠獲得的間距更小。舉例而言,在一實施例中,形成犧牲層於基底上,並使用微影製程將犧牲層圖案化。使用自對準製程在圖案化犧牲層的側面形成間隔物,然後將犧牲層移除,接著可使用留下來的間隔物圖案化出鰭結構。
第1A-1B圖為根據本發明的一些實施例,形成半導體裝置結構的製造過程之各個階段的剖面示意圖。如第1A圖所示,根據本發明的一些實施例,提供基底110。根據本發明的一些實施例,基底110具有基部112和鰭部114。根據本發明的一些實施例,鰭部114在基部112之上。在一些實施例中,基底110為塊狀(bulk)半導體基底,例如半導體晶圓。舉例而言,基底110為矽晶圓。
基底110可包含矽或其他元素半導體材料,例如鍺 (Ge)。在一些其他實施例中,基底110包含化合物半導體,化合物半導體可包含矽鍺、砷化鎵、碳化矽、砷化銦、磷化銦、其他合適的化合物半導體或前述之組合。
在一些實施例中,基底110包含絕緣體上的半導體(semiconductor-on-insulator,SOI)基底。可以使用晶圓接合製程、矽薄膜轉移(silicon film transfer)製程、氧植入隔離(separation by implantation of oxygen,SIMOX)製程、其他可應用的方法或前述之組合製造SOI基底。
在一些實施例中,在基底110中及/或其上形成各種裝置元件,為了簡化和清楚顯示之目的,在圖式中未繪示這些裝置元件。各種裝置元件的範例包含電晶體、二極體、其他合適的元件或前述之組合。
舉例而言,電晶體可以是金屬氧化物半導體場效電晶體(metal oxide semiconductor field effect transistors,MOSFET)、互補式金屬氧化物半導體(complementary metal oxide semiconductor, CMOS)電晶體、雙極性接合電晶體(bipolar junction transistors,BJT)、高電壓電晶體、高頻電晶體、p通道及/或n通道場效電晶體(PFETs/NFETs)等。可進行各種製程以形成各種裝置元件,這些製程包含沉積、蝕刻、佈植、微影、退火、平坦化、一或更多其他可應用的製程或前述之組合。
在一些實施例中,形成隔離部件(未繪示)於基底110中。隔離部件用於定義主動區,以及電性隔離形成在基底110中及/或其上位於主動區中的各種裝置元件。在一些實施例中,隔離部件包含淺溝槽隔離(shallow trench isolation,STI)部件、局部矽氧化(local oxidation of silicon,LOCOS)部件、其他合適的隔離部件或前述之組合。
如第1A圖所示,根據一些實施例,形成絕緣層120於基部112之上且圍繞鰭部114。根據一些實施例,絕緣層120由氧化物製成(例如二氧化矽)。根據一些實施例,絕緣層120由化學氣相沉積製程和回蝕刻製程形成。
如第1A圖所示,根據一些實施例,形成閘極介電層130於鰭部114和絕緣層120之上。根據一些實施例,閘極介電層130順應性地(conformally)覆蓋鰭部114和絕緣層120。閘極介電層130由二氧化矽、氮化矽、氮氧化矽、具有高介電常數(high-k)的介電材料、其他合適的絕緣材料或前述之組合製成。根據一些實施例,使用化學氣相沉積製程形成閘極介電層130。
如第1A圖所示,根據一些實施例,形成半導體層140於閘極介電層130上。半導體層140由矽(例如多晶矽)或其他元素半導體材料例如鍺製成。
在一些其他實施例中,半導體層140由化合物半導體製成。化合物半導體可包含矽鍺、砷化鎵、碳化矽、砷化銦、磷化銦、其他合適的化合物半導體或前述之組合。根據一些實施例,使用化學氣相沉積製程形成半導體層140。
如第1A圖所示,根據一些實施例,形成硬遮罩層150於半導體層140上。根據一些實施例,硬遮罩層150部分地覆蓋半導體層140。硬遮罩層150包含氮化物(例如氮化矽)、氧化物(例如二氧化矽)或其他合適的材料。根據一些實施例,硬遮罩層150和半導體層140由不同材料製成。
根據一些實施例,使用沉積製程和蝕刻製程形成硬遮罩層150。根據一些實施例,沉積製程包含化學氣相沉積製程或物理氣相沉積製程。
第1B-1圖為根據本發明的一些實施例,第1B圖的半導體裝置結構100的上視圖。第1B圖為根據一些實施例,沿著第1B-1圖中的剖面線I-I’繪示說明半導體裝置結構100的剖面示意圖。第2A圖為根據一些實施例,沿著第1B-1圖中的剖面線II-II’繪示說明半導體裝置結構100的剖面示意圖。
如第1B、1B-1和2A圖所示,根據一些實施例,移除半導體層140和閘極介電層130未被硬遮罩層150覆蓋的部分。根據一些實施例,半導體層140和閘極介電層130的上述部分之移除製程包含蝕刻製程,例如乾蝕刻製程。根據一些實施例,此移除製程使用硬遮罩層150作為蝕刻遮罩。
如第1B、1B-1和2A圖所示,根據一些實施例,留下的半導體層140和留下的閘極介電層130一起形成閘極堆疊G。根據一些實施例,形成閘極堆疊G跨越鰭部114。
如第1B、1B-1和2A圖所示,根據一些實施例,形成間隔物層160於閘極堆疊G和硬遮罩層150的側壁上。根據一些實施例,間隔物層160圍繞閘極堆疊G和硬遮罩層150。根據一些實施例,間隔物層160包含絕緣材料,例如二氧化矽或氮化矽。根據一些實施例,使用化學氣相沉積製程和蝕刻製程(例如乾蝕刻製程)形成間隔物層160。
如第2A圖所示,根據一些實施例,形成摻雜區116在鰭部114中。根據一些實施例,摻雜區116形成在被閘極堆疊G露出的鰭部114中。根據一些實施例,摻雜區116位於閘極堆疊G的兩邊。根據一些實施例,摻雜區116也稱為輕摻雜區。
根據一些實施例,使用離子佈植製程形成摻雜區116。根據一些實施例,進行離子佈植製程以導入p型摻雜物(例如硼)或n型摻雜物(例如磷)至鰭部114中。
第2A-2P圖為根據一些實施例,形成半導體裝置結構100的製造過程之各個階段的剖面示意圖。如第2B圖所示,根據一些實施例,形成源極/汲極結構170於鰭部114中。使用例如蝕刻製程移除鰭部114的一部分,以及使用選擇性磊晶成長(selective epitaxial growth,SEG)製程形成源極/汲極結構170。
根據一些實施例,使用源極/汲極結構170作為電晶體的源極結構和汲極結構。根據一些實施例,也使用源極/汲極結構170作為應力源(stressors)。在一些實施例中,源極/汲極結構170施加壓縮應力至閘極堆疊G底下的鰭部114之通道區,且源極/汲極結構170包含矽鍺(SiGe)或其他合適的材料。另外,在一些其他實施例中,源極/汲極結構170施加拉伸應力至閘極堆疊G底下的鰭部114之通道區,且源極/汲極結構170包含碳化矽(SiC)或其他合適的材料。
如第2B圖所示,根據一些實施例,形成蝕刻停止層180於基底110之上,覆蓋源極/汲極結構170。根據一些實施例,蝕刻停止層180還覆蓋硬遮罩層150、閘極堆疊G、間隔物層160和絕緣層120。根據一些實施例,蝕刻停止層180包含介電材料。根據一些實施例,蝕刻停止層180包含氮化矽。
如第2B圖所示,根據一些實施例,形成介電層190於蝕刻停止層180上。根據一些實施例,介電層190包含二氧化矽、氮氧化矽、硼矽酸鹽玻璃(borosilicate glass,BSG)、磷矽酸鹽玻璃(phosphoric silicate glass,PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、氟化矽酸鹽玻璃(fluorinated silicate glass,FSG)、低介電常數材料、多孔介電材料或前述之組合。根據一些實施例,使用化學氣相沉積製程、高密度電漿化學氣相沉積製程、旋轉塗布製程、濺鍍製程或前述之組合形成介電層190。
之後,如第2C圖所示,根據一些實施例,在介電層190上進行平坦化製程,直到閘極堆疊G的頂表面露出。根據一些實施例,平坦化製程包含化學機械研磨(chemical mechanical polishing,CMP)製程。
如第2D圖所示,根據一些實施例,移除閘極堆疊G。根據一些實施例,用於移除閘極堆疊G的移除製程包含濕蝕刻製程、乾蝕刻製程或前述之組合。
如第2D圖所示,根據一些實施例,在移除閘極堆疊G之後,形成溝槽TR1於間隔物層160中。根據一些實施例,溝槽TR1穿過間隔物層160和介電層190。根據一些實施例,溝槽TR1部分地露出鰭部114。
如第2D圖所示,根據一些實施例,形成閘極介電層210於溝槽TR1的底表面B上。根據一些實施例,閘極介電層210還形成於介電層190、間隔物層160和溝槽TR1的內壁N上。
閘極介電層210包含介電材料,例如高介電常數 (high-k)材料。高介電常數材料包含二氧化鉿(HfO2 )、氧化鉿矽(HfSiO)、氧氮化鉿矽(HfSiON)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、氧化鉿鋯(HfZrO)、其他合適的高介電常數介電材料或前述之組合。
根據一些實施例,高介電常數材料由金屬氧化物、金屬氮化物、金屬矽酸鹽、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽酸鹽、金屬氮氧化物、氧化鋁、二氧化鉿-氧化鋁 (HfO2 -Al2 O3 )合金、其他合適的材料或前述之組合製成。
根據一些實施例,閘極介電層210由任何合適的製程沉積,例如原子層沉積製程、化學氣相沉積製程、物理氣相沉積製程、其他合適的製程或前述之組合。在一些實施例中,閘極介電層210需要進一步退火。
如第2D圖所示,根據一些實施例,沉積功函數層220於閘極介電層210上。功函數層220提供電晶體期望的功函數,以提升裝置效能,包含改善臨界電壓。
在形成N型鰭式場效電晶體(FinFET)的實施例中,功函數層220可以是能夠提供適合裝置的功函數之n型金屬,例如等於或小於約4.5eV。根據一些實施例,n型金屬包含金屬、金屬碳化物、金屬氮化物或前述之組合。舉例而言,n型金屬由鉭、氮化鉭或前述之組合製成。
另外,在形成P型鰭式場效電晶體的實施例中,功函數層220可以是能夠提供適合裝置的功函數之p型金屬,例如等於或大於約4.8eV。根據一些實施例,p型金屬包含金屬、金屬碳化物、金屬氮化物、其他合適的材料或前述之組合。
舉例而言,p型金屬由鈦、氮化鈦、其他合適的材料或前述之組合製成。根據一些實施例,使用物理氣相沉積製程、化學氣相沉積製程、原子層沉積製程、電鍍製程、其他合適的方法或前述之組合沉積功函數層220。
如第2D圖所示,根據一些實施例,沉積閘極電極層230(也稱為金屬閘極電極層)於功函數層220之上,以填充溝槽TR1。根據一些實施例,閘極電極層230包含合適的金屬材料,例如鋁、鎢、金、鉑、鈷、其他合適的金屬、前述之合金或前述之組合。在一些實施例中,使用物理氣相沉積製程、電鍍製程、類似製程或前述之組合沉積閘極電極層230。
如第2E圖所示,根據一些實施例,移除在溝槽TR1以外的閘極電極層230、功函數層220和閘極介電層210。根據一些實施例,此移除製程包含平坦化製程。根據一些實施例,平坦化製程包含化學機械研磨(CMP)製程。
根據一些實施例,在溝槽TR1中的閘極電極層230、功函數層220和閘極介電層210一起形成閘極堆疊G1。根據一些實施例,閘極堆疊G1、源極/汲極結構170和摻雜區116一起形成電晶體A。根據一些實施例,電晶體A包含鰭式場效電晶體。
如第2E圖所示,根據一些實施例,移除閘極堆疊G1的上部。根據一些實施例,閘極堆疊G1的上部之移除製程包含蝕刻製程,例如濕蝕刻製程或乾蝕刻製程。根據一些實施例,在閘極堆疊G1的上部移除之後,形成溝槽TR2。根據一些實施例,剩餘的間隔物層160圍繞溝槽TR2和閘極堆疊G1。在一些實施例中,未移除閘極堆疊G1的上部。結果,閘極堆疊G1的頂表面大致上與間隔物層160的頂表面共平面。
如第2E圖所示,根據一些實施例,形成蓋層240在溝槽TR2中。根據一些實施例,蓋層240還形成在間隔物層160、蝕刻停止層180和介電層190上。根據一些實施例,蓋層240包含介電材料。根據一些實施例,蓋層240包含氮化矽。根據一些實施例,使用化學氣相沉積製程、原子層沉積製程、或物理氣相沉積製程形成蓋層240。
如第2F圖所示,根據一些實施例,移除在溝槽TR2以外的蓋層240。根據一些實施例,蓋層240用於保護閘極堆疊G1,使閘極堆疊G1免於在後續製程期間受損。根據一些實施例,蓋層240用於避免閘極堆疊G1與後續形成的接點結構之間發生短路。根據一些實施例,溝槽TR2以外之蓋層240的移除製程包含平坦化製程。根據一些實施例,平坦化製程包含化學機械研磨(CMP)製程。
如第2F圖所示,根據一些實施例,形成介電層250在介電層190、蝕刻停止層180、間隔物層160和蓋層240之上。根據一些實施例,介電層190和250一起形成介電結構D。
根據一些實施例,介電層250包含二氧化矽、氮氧化矽、硼矽酸鹽玻璃(BSG)、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)、氟化矽酸鹽玻璃(FSG)、低介電常數材料、多孔介電材料或前述之組合。根據一些實施例,使用化學氣相沉積製程、高密度電漿化學氣相沉積製程、旋轉塗布製程、濺鍍製程或前述之組合形成介電層250。
如第2G圖所示,根據一些實施例,移除介電層250的一些部分以形成多個凹陷252在介電層250中。根據一些實施例,凹陷252各自位於源極/汲極結構170的正上方。根據一些實施例,凹陷252未穿透介電結構D。根據一些實施例,凹陷252未穿透介電層250。根據一些實施例,使用蝕刻製程,例如乾蝕刻製程移除介電層250的上述部分。
如第2H圖所示,根據一些實施例,形成阻障材料層260a於介電層250之上。根據一些實施例,阻障材料層260a覆蓋凹陷252的底表面252a和內壁252b。根據一些實施例,阻障材料層260a還覆蓋介電層250的頂表面251。
根據一些實施例,阻障材料層260a在頂表面251上具有厚度T1。根據一些實施例,阻障材料層260a在內壁252b上具有厚度T2。根據一些實施例,阻障材料層260a在底表面252a上具有厚度T3。根據一些實施例,厚度T1大於厚度T2,且大於厚度T3。
根據一些實施例,阻障材料層260a由含金屬材料製成。根據一些實施例,含金屬材料包含鈦、氮化鈦、鈷、氮化鈷、釕(ruthenium)、氮化釕、前述之組合或其他合適的材料。根據一些實施例,阻障材料層260a和介電層250由不同材料製成。在一些實施例中,阻障材料層260a為多層結構,例如兩層結構。在一些實施例中,阻障材料層260a為單層結構。
根據一些實施例,使用沉積製程或電鍍製程形成阻障材料層260a。根據一些實施例,沉積製程包含化學氣相沉積製程、原子層沉積製程、或物理氣相沉積製程,例如濺鍍製程。
如第2I圖所示,根據一些實施例,移除在底表面252a上的阻障材料層260a,以形成孔洞262在阻障材料層260a中。根據一些實施例,孔洞262各自形成在這些凹陷252中。根據一些實施例,孔洞262露出介電層250的一些部分。
根據一些實施例,具有孔洞262的阻障材料層260a 形成阻障層260。根據一些實施例,阻障層260用於避免後續形成在孔洞262中的接點層(contact layer)之金屬材料擴散至介電層250中。根據一些實施例,阻障層260順應性地覆蓋頂表面251和全部內壁252b。
根據一些實施例,使用蝕刻製程,例如非等向性蝕刻製程移除在底表面252a上的阻障材料層260a。根據一些實施例,非等向性蝕刻製程包含乾蝕刻製程,例如濺射(sputtering)蝕刻製程。
根據一些實施例,由於在底表面252a上的阻障材料層260a比在頂表面 251上的阻障材料層260a薄 (如第2H圖所示),非等向性蝕刻製程移除在底表面252a上的阻障材料層260a,且薄化在頂表面 251上的阻障材料層260a(如第2I圖所示)。
在一些實施例中,使用濺射沉積製程形成阻障材料層260a,並且使用濺射蝕刻製程移除在底表面252a上的阻障材料層260a。根據一些實施例,阻障材料層260a的形成和在底表面252a上的阻障材料層260a之移除在相同的腔室中進行,例如濺射腔室。
如第2J圖所示,根據一些實施例,經由孔洞262移除由孔洞262露出的介電層250的一些部分,以形成多個凹陷254在介電層250中。根據一些實施例,凹陷254延伸至介電層190中。根據一些實施例,凹陷254未穿透介電層190。
根據一些實施例,凹陷254位於對應的凹陷252正下方。在一些實施例中,凹陷254的深度D2 大於凹陷252的深度D1。在一些實施例中,凹陷252的平均寬度大於凹陷254的平均寬度。此用語"平均寬度"是指沿著單一凹陷的深度所量測的寬度之平均值。在一些實施例中,凹陷252的最小寬度W1大於凹陷254的最大寬度W2。
根據一些實施例,使用蝕刻製程,例如乾蝕刻製程移除由孔洞262所露出的介電層250的一些部分。根據一些實施例,在蝕刻製程期間使用阻障層260作為蝕刻遮罩。
如第2J圖所示,根據一些實施例,形成阻障材料層270a於阻障層260及介電層190和250之上。根據一些實施例,阻障材料層270a覆蓋凹陷254的底表面254a和內壁254b。
根據一些實施例,在頂表面251上的阻障材料層270a具有厚度T4。根據一些實施例,在內壁254b上的阻障材料層270a具有厚度T5。根據一些實施例,在底表面254a上的阻障材料層270a具有厚度T6。根據一些實施例,厚度T4大於厚度T5,且大於厚度T6。
根據一些實施例,阻障材料層270a 由含金屬材料製成。根據一些實施例,含金屬材料包含鈦、氮化鈦、鈷、氮化鈷、釕、氮化釕、前述之組合或其他合適的材料。 根據一些實施例,阻障材料層270a和介電層190由不同材料製成。在一些實施例中,阻障材料層270a為多層結構,例如兩層結構。在一些實施例中,阻障材料層270a為單層結構。
根據一些實施例,使用沉積製程或電鍍製程形成阻障材料層270a。根據一些實施例,沉積製程包含化學氣相沉積製程、原子層沉積製程、或物理氣相沉積製程,例如濺鍍製程。
如第2K圖所示,根據一些實施例,移除在底表面254a上的阻障材料層270a,以形成孔洞272在阻障材料層270a中。根據一些實施例,每一個孔洞272在對應的凹陷252和254中。根據一些實施例,孔洞272露出介電層190的一些部分。根據一些實施例,每一個孔洞272在對應的孔洞262中。
根據一些實施例,具有孔洞272的阻障材料層270a 形成阻障層270。根據一些實施例,阻障層270直接接觸介電層250。根據一些實施例,阻障層270用於避免後續形成在孔洞272中的接點層(contact layer)之金屬材料擴散至介電層190和250中。根據一些實施例,阻障層270順應性地覆蓋阻障層260和全部內壁254b。
根據一些實施例,使用蝕刻製程,例如非等向性蝕刻製程移除在底表面254a上的阻障材料層270a。根據一些實施例,非等向性蝕刻製程包含乾蝕刻製程,例如濺射蝕刻製程。
根據一些實施例,由於在底表面254a上的阻障材料層270a比在頂表面251上的阻障材料層270a薄(如第2J圖所示),非等向性蝕刻製程移除在底表面254a上的阻障材料層270a,且薄化在頂表面251上的阻障材料層270a(如第2K圖所示)。
在一些實施例中,使用濺射沉積製程形成阻障材料層270a,並且使用濺射蝕刻製程移除在底表面254a上的阻障材料層270a。根據一些實施例,阻障材料層270a的形成和在底表面254a上的阻障材料層270a之移除在相同的腔室中進行,例如濺射腔室。
如第2L圖所示,根據一些實施例,經由孔洞272移除由孔洞272露出的介電層190的一些部分,以形成凹陷192在介電層190中。根據一些實施例,凹陷192穿透介電層190,且露出源極/汲極結構170。
根據一些實施例,凹陷192位於對應的凹陷254正下方。在一些實施例中,凹陷254的平均寬度大於凹陷192的平均寬度。在一些實施例中,凹陷254的最小寬度W3大於凹陷192的最大寬度W4。在一些實施例中,凹陷192的深度D3大於凹陷254的深度D2。根據一些實施例,使用蝕刻製程,例如乾蝕刻製程移除由孔洞272所露出的介電層190的一些部分。根據一些實施例,在蝕刻製程期間使用阻障層270作為蝕刻遮罩。
如第2M圖所示,根據一些實施例,形成阻障層280於阻障層270和介電層190之上。根據一些實施例,阻障層280覆蓋凹陷192的底表面192a和內壁192b。根據一些實施例,阻障層280順應性地覆蓋阻障層270、全部內壁192b和全部底表面192a。
根據一些實施例,阻障層280具有開口282。根據一些實施例,開口282也稱為孔洞。根據一些實施例,阻障層280用於避免後續形成在開口282中的接點層(contact layer)之金屬材料擴散至介電層190和源極/汲極結構170中。
根據一些實施例,阻障層280由含金屬材料製成。根據一些實施例,含金屬材料包含鈦、氮化鈦、鈷、氮化鈷、釕、氮化釕、前述之組合或其他合適的材料。在一些實施例中,阻障層280為多層結構,例如兩層結構。在一些實施例中,阻障層280為單層結構。
根據一些實施例,使用沉積製程或電鍍製程形成阻障層280。根據一些實施例,沉積製程包含化學氣相沉積製程、原子層沉積製程、或物理氣相沉積製程,例如濺鍍製程。
在一些實施例中,如第2M圖所示,形成金屬矽化物層C於源極/汲極結構170上。金屬矽化物層C由矽化鈦(titanium disilicide,TiSi2 )、矽化鈷(CoSi2 )、矽化釕(RuSi)或其他合適的導電材料製成。金屬矽化物層C可在阻障層280形成之前或之後形成,金屬矽化物層C的形成將在後續第3A-3B和4A-4B圖的實施例中詳細描述。
如第2N圖所示,根據一些實施例,形成接點層290於阻障層280之上。根據一些實施例,以接點層290填充開口282。在一些實施例中,接點層290的材料與阻障層260、270和280的材料不同。根據一些實施例,使用物理氣相沉積製程、電鍍製程、其他合適的方法或前述之組合形成接點層290。在一些實施例中,使用不同的方法形成接點層290。更確切的說,接點層290以有順序的方式形成。
如第2O圖所示,根據一些實施例,移除在凹陷252、254和192以外的接點層290及阻障層260、270和280。根據一些實施例,此移除製程包含平坦化製程,例如化學機械研磨製程。
根據一些實施例,在移除製程之後,介電層250、阻障層 260、270和280及接點層290的頂表面251、264、274、284和292大抵上共平面或大抵上互相對齊。根據一些實施例,敘述中的用語“大抵上共平面”可包含與共平面的幾何形狀之小偏差。
根據一些實施例,留在凹陷252、254 和192中且在相同的源極/汲極結構170上的接點層290及阻障層 260、270和280一起形成接點結構S。根據一些實施例,接點結構S位於源極/汲極結構170上,且電性連接至其底下的源極/汲極結構170。根據一些實施例,接點結構S穿透介電層190和250(或介電結構D)。
根據一些實施例,阻障層260的厚度T2大於阻障層270的厚度T5。根據一些實施例,阻障層270的厚度T5大於阻障層280的厚度T7。
根據一些實施例,凹陷252之間的距離小於凹陷254之間的距離,因此凹陷252之間的介電層250比凹陷254之間的介電層250更薄。根據一些實施例,較厚的阻障層260能夠避免接點結構 S之間發生電路短路,電路短路是由接點層290的金屬材料擴散穿過凹陷252之間較薄的介電層250造成。
由於阻障層280的材料具有的電阻可能高於接點層290的材料之電阻,較薄的阻障層280可在接點層290與其底下的源極/汲極結構170之間提供較低的電阻。
第2O-1圖為根據一些實施例,第2O圖之半導體裝置結構100的上視圖。第2O圖為根據一些實施例,沿著第2O-1圖的剖面線I-I’,繪示說明半導體裝置結構100的剖面示意圖。
如第2O和2O-1圖所示,根據一些實施例,在一個接點結構S中,阻障層280連續地圍繞整個接點層290。根據一些實施例,阻障層270連續地圍繞阻障層280的上部。在一些實施例中,阻障層280的較低部分直接接觸介電層190。根據一些實施例,阻障層260連續地圍繞阻障層270的上部。在一些實施例中,阻障層270的較低部分直接接觸介電層190和250。
根據一些實施例,每一個接點結構S位於源極/汲極結構170正上方,且電性連接至其底下的源極/汲極結構170。根據一些實施例,阻障層280在接點層290與源極/汲極結構170之間。根據一些實施例,阻障層280將接點層290與源極/汲極結構170、介電層190和250、阻障層260和270隔開。
在一些實施例中,阻障層280的電阻小於阻障層270的電阻。在一些實施例中,阻障層270的電阻小於阻障層260的電阻。
由於金屬氮化物對於金屬的擴散具有良好的阻障能力,根據一些實施例,相較於阻障層270和280,阻障層260含有較高比例的金屬氮化物在阻障層260的材料中。由於金屬氮化物可具有高電阻,阻障層260的電阻可能會高於阻障層 270和280的電阻。
由於阻障層280的電阻可能會影響接點層290與源極/汲極結構170之間的電阻,阻障層280可含有具備良好導電性的阻障材料(例如Co、CoN、Ru及/或RuN),或者在阻障層280的材料中含有低比例的金屬氮化物。因此,阻障層280的電阻可小於阻障層270和260的電阻。
根據一些實施例,阻障層260具有長度L1。根據一些實施例,阻障層270具有長度L2。根據一些實施例,阻障層280具有長度L3。根據一些實施例,長度L3大於長度L2。根據一些實施例,長度L2大於長度L1。
如第2O和2O-1圖所示,根據一些實施例,凹陷252、254和192一起形成貫穿孔洞TH穿透介電層190和250。根據一些實施例,貫穿孔洞TH具有內壁TH1。根據一些實施例,內壁TH1包含內壁252b、254b和192b以及底表面252a和254a。根據一些實施例,內壁252b、254b和192b為非連續的。根據一些實施例,內壁TH1為像階梯狀(step-like)的內壁。
使用三道蝕刻製程形成貫穿孔洞TH,其使用阻障層260和270作為蝕刻遮罩。因此,在蝕刻製程期間,阻障層260和270可保護其底下的內壁TH1免於被蝕刻。結果,阻障層260和270可避免貫穿孔洞TH的寬度被蝕刻製程擴大。更確切地說,阻障層260和270可以保持貫穿孔洞TH的關鍵尺寸(例如寬度)。
如果蝕刻製程的進行是為了形成具有高深寬比的凹陷,此高深寬比的凹陷可能會傾向於具有明顯的頸部輪廓(neck profile),這是由蝕刻製程期間擴大凹陷的寬度所產生。此用語“頸部輪廓”指的是凹陷的形狀在剖面中具有狹窄的開口。
貫穿孔洞TH是藉由使用三道蝕刻製程形成凹陷252、254和192而形成,每一個凹陷252、254和192的深寬比小於貫穿孔洞TH的深寬比。因此,每一個凹陷252、254和192可以不具有頸部輪廓,或者具有輕微的頸部輪廓。結果,每一個阻障層260、270和280可大致上是順應性地、均勻地且連續地沉積於內壁TH1上。因此,可改善阻障層260、270和280的良率。
如第2O-1圖所示,根據一些實施例,凹陷252、254和192具有大致上為圓形的形狀。在一些其他實施例中,如第2O-2圖所示,根據一些實施例,凹陷252、254和192具有非圓形的形狀。根據一些實施例,舉例而言,凹陷252、254和192具有矩形、圓角 (rounded)矩形或橢圓形的形狀。
第3A-3B圖為根據一些實施例,形成半導體裝置結構的製造過程之各個階段的剖面示意圖。如第3A圖所示,根據一些實施例,在第2L圖的階段之後,形成金屬層310於阻障層270、介電層190和源極/汲極結構170之上。根據一些實施例,金屬層310直接接觸阻障層270、介電層190和源極/汲極結構170。
根據一些實施例,金屬層310由 Ti、Co、Ru或其他合適的金屬材料製成。使用沉積製程,例如物理氣相沉積製程、電鍍製程、其他合適的方法、或前述之組合形成金屬層310。
如第3A圖所示,根據一些實施例,在金屬層310和源極/汲極結構170上進行退火製程,使得金屬層310與源極/汲極結構170反應,以形成金屬矽化物層C在金屬層310與源極/汲極結構170之間。根據一些實施例,金屬矽化物層C包含TiSi2 (矽化鈦)、CoSi2 或RuSi。
如第3A圖所示,根據一些實施例,形成金屬氮化物層320於金屬層310上。在一些實施例中,金屬矽化物層C在形成金屬氮化物層320之前形成。在一些其他實施例中,金屬矽化物層C在形成金屬氮化物層320之後形成。
根據一些實施例,金屬氮化物層320由在金屬層310上進行氮化製程,將金屬層310的頂部氮化而形成。因此,金屬氮化物層320和金屬層310包含相同的金屬材料,例如Ti、Co或Ru。在一些其他實施例中,金屬氮化物層320由在金屬層310上進行沉積製程而形成。
如第3B圖所示,根據一些實施例,進行第2N-2O圖的步驟。根據一些實施例,金屬層310和金屬氮化物層320一起形成阻障層280。根據一些實施例,留在凹陷252、254和192中位於相同的源極/汲極結構170上方的接點層290、阻障層 260、270和280 一起形成接點結構S。
根據一些實施例,金屬層310直接接觸金屬矽化物層C。根據一些實施例,金屬氮化物層320直接接觸接點層290。根據一些實施例,接點層290由鎢(W)製成。根據一些實施例,金屬氮化物層320將接點層290與金屬層310隔開,以避免接點層290中的鎢與金屬層310反應。
第4A-4B圖為根據一些實施例,形成半導體裝置結構的製造過程之各個階段的剖面示意圖。如第4A圖所示,根據一些實施例,在第2L圖的步驟之後,形成金屬矽化物層C於源極/汲極結構170上。金屬矽化物層C的形成包含沉積金屬層(未繪示)於源極/汲極結構170上;將金屬層和源極/汲極結構170退火,以形成金屬矽化物層C在金屬層與源極/汲極結構170之間;以及移除金屬層。
如第4A圖所示,根據一些實施例,形成金屬氮化物薄膜410於阻障層270、介電層190和金屬矽化物層C之上。根據一些實施例,金屬氮化物薄膜410直接接觸阻障層270、介電層190和金屬矽化物層C。根據一些實施例,金屬氮化物薄膜410由氮化鈦、氮化鈷、氮化釕、前述之組合或其他合適的材料製成。
如第4A圖所示,根據一些實施例,形成金屬層420於金屬氮化物薄膜410上。根據一些實施例,金屬層420直接接觸金屬氮化物薄膜410,金屬層 420由Ti、Ru或其他合適的金屬材料製成。根據一些實施例,使用沉積製程,例如物理氣相沉積製程、電鍍製程、其他合適的方法或前述之組合形成金屬層420。
如第4A圖所示,根據一些實施例,形成接點層290於金屬層420上。根據一些實施例,接點層290由Cu、Co和其他合適的導電材料製成,接點層290不與金屬層420反應。根據一些實施例,使用物理氣相沉積製程、電鍍製程、其他合適的方法或前述之組合形成接點層290。
如第4B圖所示,根據一些實施例,進行第2O圖的步驟。根據一些實施例,金屬氮化物薄膜410和金屬層420一起形成阻障層280。根據一些實施例,留在凹陷252、254和192中位於相同的源極/汲極結構170上方的接點層290、阻障層 260、 270和280一起形成接點結構S。在一些實施例中,阻障層 260和270為金屬氮化物薄膜。根據一些實施例,阻障層260比阻障層270厚,且阻障層270比阻障層280的金屬氮化物薄膜410厚。第2O圖的阻障層280可以被第3B圖的阻障層280或第4B圖的阻障層280取代。
第5圖為根據一些實施例繪示說明半導體裝置結構100A的剖面示意圖。如第5圖所示,根據一些實施例,半導體裝置結構100A與第2O圖的半導體裝置結構100相似,除了半導體裝置結構100A的凹陷252、254和192之內壁252b、254b和192b為弧形的(curved)內壁。根據一些實施例,阻障層260、270和280順應性地覆蓋內壁252b、254b和192b。根據一些實施例,內壁252b、254b和192b為不連續的。
第6圖為根據一些實施例繪示說明半導體裝置結構100B的剖面示意圖。如第6圖所示,根據一些實施例,半導體裝置結構100B與第2O圖的半導體裝置結構100相似,除了接點結構S形成於閘極堆疊G1正上方。根據一些實施例,接點結構S穿過介電層250和蓋層240。根據一些實施例,接點結構S電性連接至閘極堆疊G1的閘極電極層230。
第7A-7G圖為根據一些實施例,形成半導體裝置結構700的製造過程之各個階段的剖面示意圖。在第2H圖的步驟之後,如第7A圖所示,根據一些實施例,部份地移除或薄化在底表面252a上的阻障材料層260a,以形成阻障層260A。根據一些實施例,在底表面252a上的阻障層260A比第2H圖的底表面252a上的阻障材料層260a更薄。根據一些實施例,阻障層260A具有多個開口266分別在凹陷252中。在一些其他實施例中,如第8圖所示,完全移除在底表面252a上的阻障材料層260a。
如第2H和7A圖所示,根據一些實施例,在底表面252a上的阻障材料層260a之移除製程期間,底表面252a上的阻障材料層260a的一部分從底表面252a移除,然後再度沉積於阻障材料層260a上覆蓋內壁252b。因此,根據一些實施例,在內壁252b上,靠近底表面252a的阻障層260A比靠近頂表面 251的阻障層260A更厚。
根據一些實施例,阻障層260A在內壁252b上的厚度T8沿著從頂表面251至底表面252a的方向增加 。在一些實施例中,厚度T8沿著從頂表面251至底表面252a的方向連續增加。
根據一些實施例,使用蝕刻製程,例如非等向性蝕刻製程將在底表面252a上的阻障材料層260a薄化。根據一些實施例,非等向性蝕刻製程包含乾蝕刻製程,例如濺射蝕刻製程。
在一些實施例中,使用濺射沉積製程形成阻障材料層260a,並且使用濺射蝕刻製程移除底表面252a上的阻障材料層260a。根據一些實施例,阻障材料層260a的形成和底表面252a上的阻障材料層260a的移除在相同的腔室內進行,例如濺射腔室。
如第7B圖所示,根據一些實施例,經由開口266移除介電層250和阻障層260A在開口266底下的部分,以形成凹陷254在介電層250中。根據一些實施例,凹陷254延伸至介電層190中。根據一些實施例,凹陷254未穿透介電層190。
根據一些實施例,凹陷254在對應的凹陷252正下方。在一些實施例中,凹陷254的深度D2大於凹陷252的深度D1。在一些實施例中,凹陷252的平均寬度大於凹陷254的平均寬度。此用語"平均寬度"指的是沿著單一凹陷的深度量測到的寬度之平均值。在一些實施例中,凹陷252的最小寬度W1大於凹陷254的最大寬度W2。
根據一些實施例,使用蝕刻製程,例如乾蝕刻製程移除在開口266底下之介電層250和阻障層260A的部分。根據一些實施例,於蝕刻製程期間使用在內壁252b上的阻障層260A作為蝕刻遮罩。
如第7B圖所示,根據一些實施例,形成阻障材料層270a於阻障層260A及介電層190和250之上。根據一些實施例,阻障材料層270a覆蓋凹陷254的底表面254a和內壁254b。
根據一些實施例,在頂表面251上的阻障材料層270a具有厚度T4。根據一些實施例,在內壁254b上的阻障材料層270a具有厚度T5。根據一些實施例,在底表面254a上的阻障材料層270a具有厚度T6。根據一些實施例,厚度T4大於厚度T5,且大於厚度T6。根據一些實施例,阻障材料層270a的材料和形成方法與第2J圖的阻障材料層270a的材料和形成方法相同。
如第7C圖所示,根據一些實施例,部份地移除(或薄化)在底表面254a上的阻障材料層270a,以形成阻障層270A。根據一些實施例,在底表面254a上的阻障層270A比在第7B圖的底表面254a上的阻障材料層270a更薄。在一些其他實施例中,如第9圖所示,完全移除底表面254a上的阻障材料層270a。
如第7C圖所示,根據一些實施例,阻障層270A具有 開口276。根據一些實施例,每一個開口276在對應的凹陷252和254中。根據一些實施例,每一個開口276在對應的開口266中。
根據一些實施例,阻障層270A直接接觸介電層250。根據一些實施例,阻障層270A用於避免後續形成在開口276 中的接點層之金屬材料擴散至介電層190和250中。根據一些實施例,阻障層270A(順應性地)覆蓋阻障層260A、全部內壁254b和全部底表面254a。
如第7B和7C圖所示,根據一些實施例,在底表面254a上的阻障材料層270a之移除製程期間,從底表面254a移除在底表面254a上的阻障材料層270a的一部分,然後再度沉積於阻障材料層270a上覆蓋內壁254b。因此,根據一些實施例,在內壁254b上,靠近底表面254a的阻障層270A比靠近頂表面251(或底表面252a)的阻障層270A更厚。
根據一些實施例,在內壁254b上的阻障層270A的厚度T9沿著從底表面252a到底表面254a的方向增加。在一些實施例中,厚度T9沿著從底表面252a到底表面254a的方向連續增加。
根據一些實施例,使用蝕刻製程,例如非等向性蝕刻製程薄化底表面254a上的阻障材料層270a。根據一些實施例,非等向性蝕刻製程包含乾蝕刻製程,例如濺射蝕刻製程。
在一些實施例中,使用濺射沉積製程形成阻障材料層270a,並且使用濺射蝕刻製程薄化或移除底表面254a上的阻障材料層270a。根據一些實施例,阻障材料層270a的形成和底表面254a上的阻障材料層270a的移除(或薄化)在相同的腔室內進行,例如濺射腔室。
如第7D圖所示,根據一些實施例,經由開口276移除開口276底下的介電層190和阻障層270A的部分,以形成凹陷192在介電層190中。根據一些實施例,凹陷192穿透介電層190且露出源極/汲極結構170。
根據一些實施例,凹陷192在對應的凹陷254正下方。在一些實施例中,凹陷254的平均寬度大於凹陷192的平均寬度。在一些實施例中,凹陷254的最小寬度W3大於凹陷192的最大寬度W4。在一些實施例中,凹陷192的深度D3大於凹陷254的深度D2。根據一些實施例,使用蝕刻製程,例如乾蝕刻製程移除在開口276底下之介電層190的部分。根據一些實施例,於蝕刻製程期間使用在內壁254b上的阻障層270A作為蝕刻遮罩。
如第7E圖所示,根據一些實施例,形成阻障層280在阻障層270A和介電層190上。根據一些實施例,阻障層280覆蓋凹陷192的底表面192a和內壁192b。根據一些實施例,阻障層280順應性地覆蓋阻障層270A、全部內壁192b和全部底表面192a。
根據一些實施例,阻障層280具有開口282。根據一些實施例,開口282也稱為孔洞或凹陷。根據一些實施例,阻障層280用於避免後續形成在開口282中的接點層之金屬材料擴散至介電層190和源極/汲極結構170中。
根據一些實施例,阻障層280的材料和形成方法與第2M圖的阻障層280的材料和形成方法相同。在一些實施例中,阻障層280為多層結構,例如兩層結構。在一些實施例中,阻障層280為單層結構。根據一些實施例,阻障層 260A、270和280由相同材料製成。
在一些實施例中, 如第7E圖所示,形成金屬矽化物層C在源極/汲極結構170上。金屬矽化物層C由TiSi2 (矽化鈦)、CoSi2 、RuSi或其他合適的導電材料製成。金屬矽化物層C可在阻障層280形成之前或之後形成。金屬矽化物層C的形成將在後面的第10A-10B和11A-11B圖的實施例中詳細描述。
如第 7F圖所示,根據一些實施例,形成接點層290在阻障層280上。根據一些實施例,以接點層290填充開口282。在一些實施例中,接點層290的材料與阻障層 260A、270A和280的材料不同。根據一些實施例,使用物理氣相沉積製程、電鍍製程、其他合適的方法或前述之組合形成接點層290。
如第7G圖所示,根據一些實施例,移除在凹陷252、254和192以外的接點層290及阻障層 260A、270A和280。根據一些實施例,移除製程包含平坦化製程,例如化學機械研磨製程。
根據一些實施例,在移除製程之後,介電層250、阻障層260A、270A和280及接點層290的頂表面251、264、274、284和292大抵上共平面或互相對齊。描述中的用語“大抵上共平面”可包含與共平面的幾何形狀之小偏差。
根據一些實施例,留在凹陷252、254 和192中且在相同的源極/汲極結構170上的接點層290及阻障層 260A、270A和280一起形成接點結構S。根據一些實施例,接點結構S位於源極/汲極結構170上,且電性連接至其底下的源極/汲極結構170。根據一些實施例,接點結構S穿透介電層190和250(或介電結構D)。
根據一些實施例,阻障層260A的平均厚度大於阻障層270A的平均厚度。根據一些實施例,阻障層270A的平均厚度大於阻障層280的平均厚度。
根據一些實施例,凹陷252之間的距離小於凹陷254之間的距離,因此凹陷252之間的介電層250比凹陷254之間的介電層250更薄。根據一些實施例,較厚的阻障層260A能夠避免接點結構S之間發生電路短路,電路短路是由接點層290的金屬材料擴散穿過凹陷252之間較薄的介電層250造成。
由於阻障層280的材料具有的電阻可高於接點層290的材料之電阻,較薄的阻障層280可在接點層290與其底下的源極/汲極結構170之間提供較低的電阻。
第7G-1圖為根據一些實施例,第7G圖之半導體裝置結構700的上視圖。第7G圖為根據一些實施例,沿著第7G-1圖的剖面線I-I’,繪示說明半導體裝置結構700的剖面示意圖。
如第7G和7G-1圖所示,根據一些實施例,在一個接點結構S中,阻障層280連續地圍繞整個接點層290。根據一些實施例,阻障層270A連續地圍繞阻障層280的上部。在一些實施例中,阻障層280的較低部分直接接觸介電層190。根據一些實施例,阻障層260A連續地圍繞阻障層270A的上部。在一些實施例中,阻障層270A的較低部分直接接觸介電層190和250。
根據一些實施例,每一個接點結構S位於源極/汲極結構170正上方,且電性連接至其底下的源極/汲極結構170。根據一些實施例,阻障層280在接點層290與源極/汲極結構170之間。根據一些實施例,阻障層280將接點層290與源極/汲極結構170、介電層190和250、阻障層260A和270A隔開。
在一些實施例中,阻障層280的電阻小於阻障層270A的電阻。在一些實施例中,阻障層270A的電阻小於阻障層260A的電阻。
由於金屬氮化物對於金屬的擴散具有良好的阻障能力,根據一些實施例,相較於阻障層270A和280,阻障層260A含有較高比例的金屬氮化物在阻障層260A的材料中。由於金屬氮化物可具有高電阻,阻障層260A的電阻可能會高於阻障層270A和280的電阻。
由於阻障層280的電阻可能會影響接點層290與源極/汲極結構170之間的電阻,阻障層280可含有具備良好導電性的阻障材料(例如Co、CoN、Ru及/或RuN),或者在阻障層280的材料中含有低比例的金屬氮化物。因此,阻障層280的電阻可小於阻障層270A和260A的電阻。
根據一些實施例,阻障層260A具有長度L1。根據一些實施例,阻障層270A具有長度L2。根據一些實施例,阻障層280具有長度L3。根據一些實施例,長度L3大於長度L2。根據一些實施例,長度L2大於長度L1。
如第7G和7G-1圖所示,根據一些實施例,凹陷252、254和192一起形成貫穿孔洞TH穿透介電層190和250。根據一些實施例,貫穿孔洞TH具有內壁TH1。根據一些實施例,內壁TH1包含內壁252b、254b和192b以及底表面252a和254a。根據一些實施例,內壁252b、254b和192b為非連續的。根據一些實施例,內壁TH1為像階梯狀的內壁。
使用三道蝕刻製程形成貫穿孔洞TH,其使用阻障層260A和270A作為蝕刻遮罩。因此,在蝕刻製程期間,阻障層260A和270A可保護其底下的內壁TH1免於被蝕刻。結果,阻障層260A和270A可避免貫穿孔洞TH的寬度被蝕刻製程擴大。更確切地說,阻障層260A和270A可以保持貫穿孔洞TH的關鍵尺寸(例如寬度)。
貫穿孔洞TH是藉由使用三道蝕刻製程形成凹陷252、254和192而形成,每一個凹陷252、254和192的深寬比小於貫穿孔洞TH的深寬比。因此,每一個凹陷252、254和192可不具有頸部輪廓,或者具有輕微的頸部輪廓。結果,每一個阻障層260A、270A和280可大致上是順應性地、均勻地且連續地沉積於內壁TH1上。因此,可改善阻障層260A、270A和280的良率。
如第7G-1圖所示,根據一些實施例,凹陷252、254和192具有大致上為圓形的形狀。在一些其他實施例中,如第7G-2圖所示,根據一些實施例,凹陷252、254和192具有非圓形的形狀。根據一些實施例,舉例而言,凹陷252、254和192具有矩形的形狀。
第10A-10B圖為根據一些實施例,形成半導體裝置結構的製造過程之各個階段的剖面示意圖。如第10A圖所示,根據一些實施例,在第7D圖的步驟之後,形成金屬層310於阻障層270A、介電層190和源極/汲極結構170之上。根據一些實施例,金屬層310直接接觸阻障層270A、介電層190和源極/汲極結構170。根據一些實施例,金屬層310的材料和形成方法與第3A圖的金屬層310相同。
如第10A圖所示,根據一些實施例,在金屬層310和源極/汲極結構170上進行退火製程,使得金屬層310與源極/汲極結構170反應,以形成金屬矽化物層C在金屬層310與源極/汲極結構170之間。根據一些實施例,金屬矽化物層C包含TiSi2 (矽化鈦)、CoSi2 或RuSi。
如第10A圖所示,根據一些實施例,形成金屬氮化物層320於金屬層310上。在一些實施例中,金屬矽化物層C在形成金屬氮化物層320之前形成。在一些其他實施例中,金屬矽化物層C在形成金屬氮化物層320之後形成。根據一些實施例,金屬氮化物層320的材料和形成方法與第3A圖的金屬氮化物層320相同。
如第10B圖所示,根據一些實施例,進行第7F-7G圖的步驟。根據一些實施例,金屬層310和金屬氮化物層320一起形成阻障層280。根據一些實施例,留在凹陷252、254和192中位於相同的源極/汲極結構170上方的接點層290、阻障層 260A、270A和280一起形成接點結構S。
根據一些實施例,金屬層310直接接觸金屬矽化物層C。根據一些實施例,金屬氮化物層320直接接觸接點層290。根據一些實施例,接點層290由鎢(W)製成。根據一些實施例,金屬氮化物層320將接點層290與金屬層310隔開,以避免接點層290中的鎢與金屬層310反應。
第11A-11B圖為根據一些實施例,形成半導體裝置結構的製造過程之各個階段的剖面示意圖。如第11A圖所示,根據一些實施例,在第7D圖的步驟之後,形成金屬矽化物層C在源極/汲極結構170上。金屬矽化物層C的形成包含沉積金屬層(未繪示)於源極/汲極結構170上;將金屬層和源極/汲極結構170退火,以形成金屬矽化物層C在金屬層與源極/汲極結構170之間;以及移除金屬層。
如第11A圖所示,根據一些實施例,形成金屬氮化物薄膜410於阻障層270A、介電層190和金屬矽化物層C之上。根據一些實施例,金屬氮化物薄膜410直接接觸阻障層270A、介電層190和金屬矽化物層C。
如第11A圖所示,根據一些實施例,形成金屬層420於金屬氮化物薄膜410上。根據一些實施例,金屬層420直接接觸金屬氮化物薄膜410。根據一些實施例,金屬氮化物薄膜410和金屬層 420的材料和形成方法與第4A圖的金屬氮化物薄膜410和金屬層 420相同。如第11A圖所示,根據一些實施例,形成接點層290於金屬層420上。根據一些實施例,接點層290的材料和形成方法與第4A圖的接點層290相同。
如第11B圖所示,根據一些實施例,進行第7G圖的步驟。根據一些實施例,金屬氮化物薄膜410和金屬層420一起形成阻障層280。根據一些實施例,留在凹陷252、254和192中位於相同的源極/汲極結構170上方的接點層290、阻障層260A、270A和280一起形成接點結構S。在一些實施例中,阻障層260A和270A為金屬氮化物薄膜。根據一些實施例,阻障層260A比阻障層270A厚,且阻障層270A比阻障層280的金屬氮化物薄膜410厚。第7G圖的阻障層280可以被第10B圖的阻障層280或第11B圖的阻障層280取代。
第12圖為根據一些實施例繪示說明半導體裝置結構700A的剖面示意圖。如第12圖所示,根據一些實施例,半導體裝置結構700A與第7G圖的半導體裝置結構700相似,除了半導體裝置結構700A的凹陷252、254和192之內壁252b、254b和192b為弧形的內壁。根據一些實施例,阻障層260A、270A和280順應性地覆蓋內壁252b、254b和192b。根據一些實施例,內壁252b、254b和192b為不連續的。
第13圖為根據一些實施例繪示說明半導體裝置結構700B的剖面示意圖。如第13圖所示,根據一些實施例,半導體裝置結構700B與第7G圖的半導體裝置結構700相似,除了接點結構S形成於閘極堆疊G1正上方。根據一些實施例,接點結構S穿過介電層250和蓋層240。根據一些實施例,接點結構S電性連接至閘極堆疊G1的閘極電極層230。在一些實施例中,半導體裝置結構700B沒有蓋層240,接點結構S與閘極堆疊G1之間的界面大抵上與介電層250的底表面共平面。
根據一些實施例,提供半導體裝置結構及其形成方法。此方法(用於形成半導體裝置結構)使用多道蝕刻製程形成接點孔洞,其使用多個阻障層作為蝕刻遮罩。因此,此方法避免接點孔洞具有頸部輪廓,頸部輪廓是由使用單一蝕刻製程形成接點孔洞造成。結果,形成在接點孔洞之內壁上的阻障層之良率得到改善。此阻障層在多道蝕刻製程之間的時間間隔期間形成在接點孔洞之內壁上。此阻障層在後續的蝕刻製程期間保護其底下的內壁免於被蝕刻,因此,阻障層避免接點孔洞的寬度被後續的蝕刻製程擴大。
根據一些實施例,提供半導體裝置結構的形成方法。 此方法包含形成介電結構於電晶體上,此方法包含形成第一凹陷於介電結構中,此方法包含形成第一阻障層於第一凹陷的第一內壁上,第一阻障層具有第一開口在介電結構的第一部分上,且靠近第一凹陷的第一底表面之第一阻障層比靠近介電結構的頂表面之第一阻障層厚。此方法包含經由第一開口移除第一部分,以形成第二凹陷於介電結構中,此方法包含形成第二阻障層於第二凹陷的第二內壁上,第二阻障層具有第二開口在第二凹陷中。此方法包含形成接點層在第一開口和第二開口中,接點層、第一阻障層和第二阻障層一起形成接點結構,且接點結構位於電晶體上方並電性連接至電晶體。
在一些實施例中,靠近第二凹陷的第二底表面之第二阻障層比靠近第一凹陷的第一底表面之第二阻障層厚。
在一些實施例中,形成第一阻障層包含沉積阻障材料層於第一凹陷的第一內壁和第一底表面上;以及在第一底表面上的阻障材料層上進行蝕刻製程,以移除第一底表面上的阻障材料層,其中移除在第一底表面上的阻障材料層的一部分,然後再度沉積於第一內壁上的阻障材料層上。
在一些實施例中,藉由蝕刻製程部份地移除在第一底表面上的阻障材料層。
在一些實施例中,第一阻障層和第二阻障層由相同材料製成。
在一些實施例中,電晶體包含源極/汲極結構,且接點結構在源極/汲極結構正上方,並電性連接至源極/汲極結構。
在一些實施例中,第二阻障層還形成於第一阻障層上。
在一些實施例中,第一阻障層的第一厚度大於第二阻障層的第二厚度。
在一些實施例中,第二凹陷的第一深度大於第一凹陷的第二深度。
在一些實施例中,第一阻障層連續地圍繞第二阻障層的上部。
根據一些實施例,提供半導體裝置結構的形成方法。 此方法包含形成介電結構於電晶體上,此方法包含形成第一凹陷於介電結構中,此方法包含形成第一阻障層於第一凹陷的第一內壁上,第一阻障層具有第一開口,第一阻障層由第一含金屬材料製成,且第一阻障層的厚度沿著從介電結構的頂表面到第一凹陷的底表面的方向增加。此方法包含移除介電結構在第一開口底下的一部分,以形成第二凹陷在介電結構中且位於第一凹陷底下。此方法包含形成第二阻障層於第一阻障層上和第二凹陷的第二內壁上,第二阻障層具有第二開口在第一凹陷和第二凹陷中,且第二阻障層由第二含金屬材料製成。此方法包含形成接點層於第二開口中,接點層、第一阻障層和第二阻障層一起形成接點結構,且接點結構位於電晶體上方,並電性連接至電晶體。
在一些實施例中,接點層的第一材料與第一阻障層的第二材料及第二阻障層的第三材料不同,且第二材料和第三材料與介電結構的第四材料不同。
在一些實施例中,第一凹陷的第一平均寬度大於第二凹陷的第二平均寬度。
在一些實施例中,電晶體包含閘極堆疊,且接點結構位於閘極堆疊正上方,並電性連接至閘極堆疊。
在一些實施例中,第二阻障層直接接觸介電結構。
根據一些實施例,提供半導體裝置結構。此半導體裝置結構包含電晶體在基底上,此半導體裝置結構包含介電結構在基底之上且覆蓋電晶體,此半導體裝置結構包含接點結構穿透介電結構且電性連接至電晶體。接點結構包含接點層、第一阻障層和第二阻障層,第一阻障層圍繞接點層,第二阻障層圍繞第一阻障層的第一上部,第一阻障層的第一較低部分直接接觸介電結構,且靠近電晶體的第二阻障層比靠近介電結構的頂表面的第二阻障層厚。
在一些實施例中,第一阻障層包含第一含金屬材料,且第二阻障層包含第二含金屬材料。
在一些實施例中,第一阻障層將接點層與電晶體隔開。
在一些實施例中,接點結構還包含第三阻障層圍繞第二阻障層的第二上部,其中第二阻障層的第二較低部分直接接觸介電結構,第三阻障層比第二阻障層厚,第二阻障層比第一阻障層厚,且靠近電晶體的第三阻障層比靠近介電結構的頂表面的第三阻障層厚。
在一些實施例中,第一阻障層具有第一長度,第二阻障層具有第二長度,第三阻障層具有第三長度,第一長度大於第二長度,且第二長度大於第三長度。
以上概述了數個實施例的部件,使得在本發明所屬技術領域中具有通常知識者可以更理解本發明實施例的概念。在本發明所屬技術領域中具有通常知識者應該理解,可以使用本發明實施例作為基礎,來設計或修改其他製程和結構,以實現與在此所介紹的實施例相同的目的及/或達到相同的好處。在本發明所屬技術領域中具有通常知識者也應該理解,這些等效的結構並不背離本發明的精神和範圍,並且在不背離本發明的精神和範圍的情況下,在此可以做出各種改變、取代和其他選擇。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
100、100A、100B、700、700A、700B‧‧‧半導體裝置結構;
110‧‧‧基底;
112‧‧‧基部;
114‧‧‧鰭部;
116‧‧‧摻雜區;
120‧‧‧絕緣層;
130、210‧‧‧閘極介電層;
140‧‧‧半導體層;
150‧‧‧硬遮罩層;
160‧‧‧間隔物層;
170‧‧‧源極/汲極結構;
180‧‧‧蝕刻停止層;
190、250‧‧‧介電層;
192、252、254‧‧‧凹陷;
220‧‧‧功函數層;
230‧‧‧閘極電極層;
240‧‧‧蓋層;
251、264、274、284、292‧‧‧頂表面;
260a、270a‧‧‧阻障材料層;
260、260A、270、270A、280‧‧‧阻障層;
262、272‧‧‧孔洞;
266、276、282‧‧‧開口;
290‧‧‧接點層;
310、420‧‧‧金屬層;
320‧‧‧金屬氮化物層;
410‧‧‧金屬氮化物薄膜;
A‧‧‧電晶體;
C‧‧‧金屬矽化物層;
D‧‧‧介電結構;
G、G1‧‧‧閘極堆疊;
S‧‧‧接點結構;
TH‧‧‧貫穿孔洞;
TR1、TR2‧‧‧溝槽;
B、192a、252a、254a‧‧‧底表面;
N、192b、252b、254b、TH1‧‧‧內壁;
T1、T2、T3、T4、T5、T6、T7、T8、T9‧‧‧厚度;
D1、D2、D3‧‧‧深度;
W1、W3‧‧‧最小寬度;
W2、W4‧‧‧最大寬度;
L1、L2、L3‧‧‧長度。
為了讓本發明實施例能更容易理解,以下配合所附圖式作詳細說明。應該注意,根據工業上的標準範例,各個部件(feature)未必按照比例繪製。實際上,為了讓討論清晰易懂,各個部件的尺寸可以被任意放大或縮小。 第1A-1B圖為根據本發明的一些實施例,形成半導體裝置結構的製造過程之各個階段的剖面示意圖。 第1B-1圖為根據本發明的一些實施例,第1B圖的半導體裝置結構的上視圖。 第2A-2O圖為根據本發明的一些實施例,形成半導體裝置結構的製造過程之各個階段的剖面示意圖。 第2O-1圖為根據本發明的一些實施例,第2O圖的半導體裝置結構的上視圖。 第2O-2圖為根據本發明的一些實施例,第2O圖的半導體裝置結構的上視圖。 第3A-3B圖為根據本發明的一些實施例,形成半導體裝置結構的製造過程之各個階段的剖面示意圖。 第4A-4B圖為根據本發明的一些實施例,形成半導體裝置結構的製造過程之各個階段的剖面示意圖。 第5圖為根據本發明的一些實施例,半導體裝置結構的剖面示意圖。 第6圖為根據本發明的一些實施例,半導體裝置結構的剖面示意圖。 第7A-7G圖為根據本發明的一些實施例,形成半導體裝置結構的製造過程之各個階段的剖面示意圖。 第7G-1圖為根據本發明的一些實施例,第7G圖的半導體裝置結構的上視圖。 第7G-2圖為根據本發明的一些實施例,第7G圖的半導體裝置結構的上視圖。 第8圖為根據本發明的一些實施例,半導體裝置結構的剖面示意圖。 第9圖為根據本發明的一些實施例,半導體裝置結構的剖面示意圖。 第10A-10B圖為根據本發明的一些實施例,形成半導體裝置結構的製造過程之各個階段的剖面示意圖。 第11A-11B圖為根據本發明的一些實施例,形成半導體裝置結構的製造過程之各個階段的剖面示意圖。 第12圖為根據本發明的一些實施例,半導體裝置結構的剖面示意圖。 第13圖為根據本發明的一些實施例,半導體裝置結構的剖面示意圖。

Claims (1)

  1. 一種半導體裝置結構的形成方法,包括: 形成一介電結構於一電晶體之上; 形成一第一凹陷於該介電結構中; 形成一第一阻障層於該第一凹陷的一第一內壁上,其中該第一阻障層具有一第一開口在該介電結構的一第一部分上,且靠近該第一凹陷的一第一底表面之該第一阻障層比靠近該介電結構的一頂表面之該第一阻障層厚; 經由該第一開口移除該第一部分,以形成一第二凹陷於該介電結構中; 形成一第二阻障層於該第二凹陷的一第二內壁上,其中該第二阻障層具有一第二開口在該第二凹陷中;以及 形成一接點層在該第一開口和該第二開口中,其中該接點層、該第一阻障層和該第二阻障層一起形成一接點結構,且該接點結構位於該電晶體上方,並電性連接至該電晶體。
TW107140118A 2017-11-14 2018-11-13 半導體裝置結構及其形成方法 TWI775982B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762585619P 2017-11-14 2017-11-14
US62/585,619 2017-11-14
US16/174,921 2018-10-30
US16/174,921 US10629708B2 (en) 2017-11-14 2018-10-30 Semiconductor device structure with barrier layer and method for forming the same

Publications (2)

Publication Number Publication Date
TW201919151A true TW201919151A (zh) 2019-05-16
TWI775982B TWI775982B (zh) 2022-09-01

Family

ID=66432424

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107140118A TWI775982B (zh) 2017-11-14 2018-11-13 半導體裝置結構及其形成方法

Country Status (3)

Country Link
US (5) US10629708B2 (zh)
CN (1) CN109786318A (zh)
TW (1) TWI775982B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI740465B (zh) * 2019-07-23 2021-09-21 國立清華大學 具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10629708B2 (en) 2017-11-14 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with barrier layer and method for forming the same
US11171060B2 (en) * 2019-02-28 2021-11-09 Tokyo Electron Limited Dual metal contacts with ruthenium metal plugs for semiconductor devices
US11043414B2 (en) * 2019-10-16 2021-06-22 Micron Technology, Inc. Microelectronic devices with conductive contacts to silicide regions, and related devices
US20210408258A1 (en) * 2020-06-25 2021-12-30 Intel Corporation Integrated circuit structures including a titanium silicide material
KR20220108613A (ko) * 2021-01-27 2022-08-03 삼성전자주식회사 집적회로 소자
US20220319991A1 (en) * 2021-03-31 2022-10-06 Nanya Technology Corporation Semiconductor device with dual barrier layers and method for fabricating the same

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5985749A (en) 1997-06-25 1999-11-16 Vlsi Technology, Inc. Method of forming a via hole structure including CVD tungsten silicide barrier layer
US6093642A (en) 1998-09-23 2000-07-25 Texas Instruments Incorporated Tungsten-nitride for contact barrier application
JP2009200154A (ja) * 2008-02-20 2009-09-03 Toshiba Corp 半導体装置とその製造方法
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
EP3021352B1 (en) * 2014-11-13 2020-10-07 IMEC vzw Method for reducing contact resistance in a transistor
US9484255B1 (en) * 2015-11-03 2016-11-01 International Business Machines Corporation Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10157785B2 (en) * 2017-05-01 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10763338B2 (en) * 2017-08-30 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Silicide implants
US10629708B2 (en) * 2017-11-14 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with barrier layer and method for forming the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI740465B (zh) * 2019-07-23 2021-09-21 國立清華大學 具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置

Also Published As

Publication number Publication date
US20220302283A1 (en) 2022-09-22
US20210296472A1 (en) 2021-09-23
US20200251577A1 (en) 2020-08-06
US11784240B2 (en) 2023-10-10
TWI775982B (zh) 2022-09-01
US11670704B2 (en) 2023-06-06
US10629708B2 (en) 2020-04-21
US20190148522A1 (en) 2019-05-16
CN109786318A (zh) 2019-05-21
US20230268425A1 (en) 2023-08-24
US11031488B2 (en) 2021-06-08

Similar Documents

Publication Publication Date Title
TWI775982B (zh) 半導體裝置結構及其形成方法
US10763341B2 (en) Semiconductor device structure and method for forming the same
US9947766B2 (en) Semiconductor device and fabricating method thereof
US9601593B2 (en) Semiconductor device structure and method for forming the same
US10062769B2 (en) Methods of fabricating semiconductor devices
US9865697B1 (en) Semiconductor device structure and method for forming the same
US20220336449A1 (en) Semiconductor device structure and methods of forming the same
TWI793552B (zh) 半導體結構及其製造方法
US9502499B2 (en) Semiconductor device structure having multi-layered isolation trench structures
TWI742870B (zh) 半導體裝置結構及其形成方法
US10629480B2 (en) Method for forming semiconductor device structure
US11894273B2 (en) Methods of forming a semiconductor device
TW202113988A (zh) 半導體裝置結構的形成方法
CN113327857B (zh) 半导体结构及其形成方法
US20230326999A1 (en) Semiconductor device structure and method for forming the same
US20230420566A1 (en) Semiconductor device with reverse-cut source/drain contact structure and method thereof
US20230065045A1 (en) Contact formation method and related structure
CN111627854A (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent