TW202145356A - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TW202145356A
TW202145356A TW109143132A TW109143132A TW202145356A TW 202145356 A TW202145356 A TW 202145356A TW 109143132 A TW109143132 A TW 109143132A TW 109143132 A TW109143132 A TW 109143132A TW 202145356 A TW202145356 A TW 202145356A
Authority
TW
Taiwan
Prior art keywords
layer
dielectric layer
ferroelectric
gate
dielectric
Prior art date
Application number
TW109143132A
Other languages
English (en)
Inventor
賴德洋
彭峻彥
世海 楊
志安 徐
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202145356A publication Critical patent/TW202145356A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02356Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the morphology of the insulating layer, e.g. transformation of an amorphous layer into a crystalline layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

提供負電容場效電晶體與鐵電場效電晶體裝置與其形成方法。負電容場效電晶體與鐵電場效電晶體裝置的閘極介電堆疊包括非鐵電的界面層形成於半導體通道上,以及鐵電的閘極介電層形成於界面層上。鐵電的閘極介電層之形成方法可為將摻質源層夾設於非晶的高介電常數的介電層之間,接著以沉積後退火使依序交錯的介電層轉換成鐵電的閘極介電層。鐵電的閘極介電層具有可調的鐵電特性,且可採用原子層沉積或電漿輔助原子層沉積技術精準控制摻質源層的位置以改變可調的鐵電特性。綜上所述,此處所述的方法所製作之穩定的負電容場效電晶體與鐵電場效電晶體的鰭狀場效電晶體裝置,可具有陡峭的次臨界斜率。

Description

半導體裝置的形成方法
本發明實施例關於負電容場效電晶體與鐵電場效電晶體所用的鐵電的閘極介電層。
半導體裝置用於多種電子應用,比如個人電腦、手機、數位相機、與其他電子設備。半導體裝置的一般製作方法為依序沉積絕緣或介電層、導電層、與半導體層的材料於半導體基板上,並採用光微影圖案化多種材料層,以形成電子構件與單元於基板上。
半導體持續減少最小結構尺寸,以改善多種電子構件如電晶體、二極體、電阻、電容器、或類似物的積體密度,以將更多構件整合至給定面積中。然而隨著最小結構尺寸縮小,將產生需解決的額外問題。
在一實施例中,半導體裝置的形成方法包括:形成一閘極介電堆疊,且形成閘極介電堆疊的步驟包括:形成界面層於基板的表面之至少一部分上;以及形成鐵電的閘極介電層於界面層上,且形成鐵電的閘極介電層的步驟包括:形成依序交錯的多個介電層,且形成依序交錯的該些介電層的步驟包括:沉積第一高介電常數的介電層於界面層上,其中第一高介電常數的介電層之至少一部分為非晶;以及形成多對的介電層於第一高介電常數的介電層上,且形成每一多對的介電層之步驟包括:沉積摻質源層;以及沉積第二高介電常數的介電層於摻質源層上,其中第二高介電常數的介電層之至少一部分為非晶;以及在形成依序交錯的介電層之後,進行第一退火以將第一高介電常數 的介電層與依序交錯的介電層轉換成該鐵電的閘極介電層。
在一實施例中,半導體裝置的形成方法包括:形成界面層於基板的表面的至少一部分上;形成第一氧化鉿層於界面層上;沉積第一摻質源層於第一氧化鉿層上;形成第二氧化氧化鉿層於第一摻質源層上;沉積第二摻質源層於第二氧化鉿層上;形成第三氧化鉿層於第二摻質源層上;以及進行第一退火使第一氧化鉿層、第一摻質源層、第二氧化鉿層、第二摻質源層、與第三氧化鉿層轉變成鐵電的介電層,且鐵電的介電層為斜晶相的多晶氧化鉿介電層。
在一實施例中,半導體裝置包括;半導體區;界面層,位於半導體區上,且界面層可為非鐵電的介電材料;鐵電的介電層,位於界面層上,其中鐵電的介電層為斜方晶的多晶摻雜氧化鉿,其中鐵電的介電層中的摻質不均勻地分布於鐵電的介電層中;以及導電材料,位於鐵電的介電層上。
下述詳細描述可搭配圖式說明,以利理解本發明的各方面。值得注意的是,各種結構僅用於說明目的而未按比例繪製,如本業常態。實際上為了清楚說明,可任意增加或減少各種結構的尺寸。
下述內容提供的不同實施例或例子可實施本發明實施例的不同結構。特定構件與排列的實施例係用以簡化本揭露而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明之多種實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「下側」、「上方」、「上側」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
在本發明實施例中,說明負電容的鰭狀場效電晶體裝置,以及製作負電容場效電晶體與鐵電場效電晶體裝置於積體電路中的方法。此處說明的負電容場效電晶體與鐵電場效電晶體裝置所採用的閘極介電堆疊,包括鐵電介電層與其他非鐵電介電層(如界面層)的組合。實施例包括形成埋置於摻質源層中的非晶介電層,以及調整製作製程條件。可採用熱處理摻雜介電層,以形成客製化的穩定鐵電特性的鐵電多晶介電層,如下詳述。鐵電介電層可提供負電容的層狀物。負電容可與非鐵電介電層的電容結合,以提供結合的介電堆疊之高電容。在裝置中採用此介電堆疊(如作為電晶體的閘極介電層)時可提供優點。
此處所述的結構與方法之優點為可調整製程修整鐵電介電層的負電容,以符合負電容場效電晶體所用的汲極電流對閘極電壓的規格。此處所述的負電容場效電晶體與鐵電場效電晶體為鰭狀場效電晶體,其可為三維的金氧半場效電晶體形成於鰭狀的半導體帶上。然而應理解此發明實施例可用於其他三維結構(如全繞式閘極金氧半場效電晶體)或平面結構。
圖1顯示一些實施例中,鰭狀場效電晶體的三維圖。鰭狀場效電晶體包括鰭狀物52於基板50 (如半導體基板)上。隔離區56位於基板50中,且鰭狀物52自相鄰的隔離區56之間向上凸起。雖然圖式與說明中的隔離區56與基板50分開,此處所用的用語「基板」可用於單指半導體基板,或含有隔離區的半導體基板。此外,雖然圖式中的鰭狀物52為單一的連續材料如基板50,鰭狀物52及/或基板50可包含單一材料或多種材料。在此說明中,鰭狀物52指的是延伸於相鄰隔離區56之間的部分。
閘極介電層92沿著鰭狀物52的側壁與上表面,而閘極94位於閘極介電層92上。源極/汲極區82相對於閘極介電層92與閘極94,位於鰭狀物52的兩側中。圖1亦顯示後續圖式所用的參考剖面。參考剖面A-A沿著閘極94的縱軸,並垂直於鰭狀場效電晶體的源極/汲極區82之間的電流方向。參考剖面B-B垂直於參考剖面A-A,並沿著鰭狀物52的縱軸與鰭狀場效電晶體的源極/汲極區82之間的電流方向。參考剖面C-C平行於參考剖面A-A,並延伸穿過鰭狀場效電晶體的源極/汲極區。後續圖式將依據這些參考剖面以求圖式清楚。
此處所述的一些實施例以閘極後製製程所形成的鰭狀場效電晶體作說明。在其他實施例中,可採用閘極優先製程。此外,一些實施例可用於平面裝置如平面場效電晶體。
圖2至14K及15A至16B係一些實施例中,製造鰭狀場效電晶體的中間階段之剖視圖。圖2至7沿著圖1所式的參考剖面A-A,差別在於多個鰭狀物及/或鰭狀場效電晶體。圖8A、9A、10A、11A、12A、13A、14A、14I、15A、及16A沿著圖1所式的參考剖面A-A,圖8B、9B、10B、11B、12B、13B、14B-14H、14J、14K、15B、及16B沿著圖1所式的類似剖面B-B,差別在於多個鰭狀物及/或鰭狀場效電晶體。圖10C及10D沿著圖1所示的參考剖面C-C,差別在於多個鰭狀物及/或鰭狀場效電晶體。
在圖2中,提供基板50。基板50可為半導體基板如基體半導體、絕緣層上半導體基版、或類似物,其可摻雜(比如摻雜p型或n型摻質)或未摻雜。基板50可為晶圓如矽晶圓。一般而言,絕緣層上半導體基板為半導體材料層形成於絕緣層上。舉例來說,絕緣層可為埋置氧化物層、氧化矽層、或類似物。可提供絕緣層於基板上,一班為矽基板或玻璃基板。亦可採用其他基板如多層基板或組成漸變基板。在一些實施例中,基板50的半導體材料可包含矽、鍺、半導體化合物(如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦)、半導體合金(如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦)、或上述之組合。
基板50具有區域50N與區域50P。區域50N可用於形成n型裝置如n型金氧半電晶體,比如n型鰭狀場效電晶體。區域50P可用於形成p型裝置如p型金氧半電晶體,比如p型鰭狀場效電晶體。區域50N可與區域50P物理分隔(比如隔有分隔線51),且任何數目的裝置結構(如其他主動裝置、摻雜區、隔離結構、或類似物)可位於區域50N與區域50P之間。
在圖3中,形成鰭狀物52於基板50中。鰭狀物52為半導體帶。在一些實施例中,鰭狀物52形成於基板50中的方法可為蝕刻溝槽於基板50中。蝕刻可為可接受的蝕刻製程,比如反應性離子蝕刻、中性束蝕刻、類似方法、或上述之組合。蝕刻可為非等向。
可由任何合適方法圖案化鰭狀物。舉例來說,可採用一或多道的光微影製程圖案化鰭狀物,包括雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合光微影與自對準製程,其產生的圖案間距可小於採用單一的直接光微影製程所得的圖案間距。舉例來說,一實施例形成犧牲層於基板上,並採用光微影製程圖案化犧牲層。可採用自對準製程沿著圖案化的犧牲層側部形成間隔物。接著移除犧牲層,而保留的間隔物之後可作為遮罩以圖案化鰭狀物52。在一些實施例中,遮罩可保留於鰭狀物52上。
在圖4中,絕緣材料54形成於基板50之上與相鄰的鰭狀物52之間。絕緣材料54可為氧化物如氧化矽、氮化物、類似物、或上述之組合,且其形成方法可為高密度電漿化學氣相沉積、可流動的化學氣相沉積(比如在遠端電漿系統中沉積化學氣相沉積為主的材料,之後固化材料使其轉換成另一材料如氧化物)、類似方法、或上述之組合。亦可採用任何可接受的製程所形成的其他絕緣材料。在所述實施例中,絕緣材料54可為可流動的化學氣相沉積製程所形成的氧化矽。一旦形成絕緣材料,即可進行退火製程。在一實施例中,形成絕緣材料54會使多餘的絕緣材料54覆蓋鰭狀物52。雖然圖式中的絕緣材料54為單層,一些實施例可採用多層的絕緣材料54。舉例來說,一些實施例可先沿著基板50與鰭狀物52的表面形成襯墊層(未圖示)。之後可形成上述的填充材料於襯墊層上。
在圖5中,對絕緣材料54進行移除製程,以移除鰭狀物52上的多餘絕緣材料54。在一些實施例中,可採用平坦化製程如化學機械研磨、回蝕刻製程、上述之組合、或類似製程。平坦化製程露出鰭狀物52,使完成平坦化製程之後的鰭狀物52與絕緣材料54的上表面齊平。
在圖6中,使絕緣材料54凹陷以形成淺溝槽隔離區56。絕緣材料54凹陷後,區域50N與區域50P中的鰭狀物52之上側部分自相鄰的淺溝槽隔離區56之間凸起。此外,淺溝槽隔離區56的上表面可平坦(如圖示)、凸起、凹陷(比如碟化)、或上述之組合。藉由合適蝕刻,可使淺溝槽隔離區56的上表面平坦、凸起、及/或凹陷。可採用可接受的蝕刻製程使淺溝槽隔離區56凹陷,比如對絕緣材料54具有選擇性的蝕刻製程(對絕緣材料54的蝕刻速率大於對鰭狀物52的蝕刻速率)。舉例來說,氧化物移除法可採用稀氫氟酸。
圖2至6所示的製成僅為如何形成鰭狀物52的一例。在一些實施例中,鰭狀物的形成方法可為磊晶成長製程。舉例來說,可形成介電層於基板50的上表面上,並可蝕穿溝槽介電層以露出下方的基板50。可磊晶成長同質磊晶結構於溝槽中,且可使介電層凹陷,因此同質磊晶結構自介電層凸起以形成鰭狀物。此外,一些實施例可採用異質磊晶結構作為鰭狀物52。舉例來說,可使圖5中的鰭狀物52凹陷,並磊晶成長不同於鰭狀物52的材料於凹陷的鰭狀物52上。在這些實施例中,鰭狀物52包含凹陷材料以及位於凹陷材料上的磊晶成長材料。在其他實施例中,可形成介電層於基板50的上表面上,並可蝕穿介電層以形成溝槽。接著可磊晶成長不同於基板50的材料於溝槽中,以形成異質磊晶結構。使介電層凹陷後,異質磊晶結構自介電層凸起以形成鰭狀物52。在一些實施例中,在磊晶成長同質磊晶結構或異質磊晶結構時,可原位摻雜磊晶成長的材料,因此可省略之前或之後的佈植。不過原位摻雜亦可搭配佈植摻雜。
此外,在區域50N (如n型金氧半區)與區域50P (如p型金氧半區)中磊晶成長不同的材料具有優點。在多種實施例中,鰭狀物52的上側部分之組成可為矽鍺(Six Ge1-x ,x可為0至1)、碳化矽、純鍺或實質上純鍺、III-V族半導體化合物、II-VI族半導體化合物、或類似物。舉例來說,形成III-V族半導體化合物的可行材料包含但不限於砷化銦、砷化鋁、砷化鎵、磷化銦、氮化鎵、砷化鎵銦、砷化鋁銦、銻化鎵、銻化鋁、磷化鋁、磷化鎵、或類似物。
如圖6所示,可形成合適井區(未顯示)於鰭狀物52及/或基板50中。在一些實施例中,可形成p型井於區域50N中,且可形成n型井於區域50P中。在一些實施例中,可形成p型井(或n型井)於區域50N及50P中。
在不同井型態的實施例中,可採用光阻或其他遮罩(未圖示)以達區域50N與區域50P所用的不同佈植步驟。舉例來說,可形成光阻於區域50N中的鰭狀物52與淺溝槽隔離區56上。圖案化光阻以露出基板50的區域50P,比如p型金氧半區。可採用旋轉塗佈技術形成光阻,並採用可接受的光微影技術圖案化光阻。一旦圖案化光阻,可在區域50P中進行n型雜質佈植,而光阻可作為遮罩以實質上避免佈植n型雜質至區域50N如n型金氧半區中。佈植至區域中的n型雜質可為磷、砷、銻、或類似物,其濃度可為小於或等於1018 cm-3 ,比如介於約1016 cm-3 至約1018 cm-3 之間。在佈植之後可移除光阻,且移除方法可為可接受的灰化製程。
在佈植區域50P之後,可形成光阻於區域50P中的鰭狀物52與淺溝槽隔離區56上。圖案化光阻以露出基板50的區域50N如n型金氧半區。可採用旋轉塗佈技術形成光阻,並採用可接受的光微影技術圖案化光阻。一旦圖案化光阻,可在區域50N中進行p型雜質佈植,而光阻可作為遮罩以實質上避免佈植p型雜質至區域50P如p型金氧半區中。佈植至區域中的p型雜質可為硼、二氟化硼、銦、或類似物,其濃度可為小於或等於1018 cm-3 ,比如介於約1017 cm-3 至約1018 cm-3 之間。在佈植之後可移除光阻,且移除方法可為可接受的灰化製程。
在佈植區域50N與區域50P之後,可進行退火以活化佈植的p型及/或n型雜質。在一些實施例中,磊晶的鰭狀物之成長材料可在成長時原位摻雜,其可省略佈植。不過原位摻雜亦可搭配佈植摻雜。
在圖7中,形成虛置介電層60於鰭狀物52上。舉例來說,虛置介電層60可為氧化矽、氮化矽、上述之組合、或類似物,且其形成方法可為依據可接受的技術進行的沉積或熱成長。虛置閘極層62形成於虛置介電層60上,而遮罩層64形成於虛置閘極層62上。可沉積虛置閘極層62於虛置介電層60上,接著以化學機械研磨等方法平坦化虛置閘極層62。可沉積遮罩層64於虛置閘極層62上。虛置閘極層62可為導電或非導電材料,比如非晶矽、多晶矽、多晶矽鍺、金屬氮化物、金屬矽化物、金屬氧化物、或金屬。虛置閘極層62的沉積方法可採用物理氣相沉積、化學氣相沉積、濺鍍沉積、或本技術領域中用於沉積導電材料的已知其他技術。虛置閘極層62的組成可為在蝕刻隔離區時具有高蝕刻選擇性的其他材料。舉例來說,遮罩層64可為氮化矽、氮氧化矽、或類似物。在此例中,形成單一的虛置閘極層62與單一的遮罩層64以越過區域50N與區域50P。值得注意的是,虛置介電層60只覆蓋鰭狀物52的圖式僅用於說明目的。在一些實施例中,可沉積虛置介電層60,使虛置介電層60覆蓋淺溝槽隔離區56,並延伸於虛置閘極層62與淺溝槽隔離區56之間。
圖8A至16B顯示製造實施例的裝置之多種額外步驟。圖8A至16B所示的結構可在區域50N與區域50P中。舉例來說,圖8A至16B所示的結構可用於區域50N與區域50P中。區域50N與區域50P中的結構差異(若存在)將搭配每一圖說明。
在圖8A及8B中,可採用可接受的光微影與蝕刻技術圖案化遮罩層64 (見圖7)以形成遮罩74。接著將遮罩74的圖案轉移至虛置閘極層62以形成虛置閘極72。在一些實施例中(未圖示),亦可將遮罩74的圖案轉移到虛置介電層60。虛置閘極72覆蓋鰭狀物52的個別通道區58。遮罩74的圖案可用於物理分開每一虛置閘極72與相鄰的虛置閘極。虛置閘極72的長度方向可實質上垂直於個別磊晶的鰭狀物52的長度方向。
在圖8A及8B中,可形成閘極密封間隔物80於虛置閘極72、遮罩74、及/或鰭狀物52的露出表面上。在熱氧化或沉積之後,可非等向蝕刻以形成閘極密封間隔物80。閘極密封間隔物80的組成可為氧化矽、氮化矽、氮氧化矽、或類似物。
在形成閘極密封間隔物80之後,可進行輕摻雜源極/汲極區所用的佈植(未圖示)。在不同裝置型態的實施例中,與圖6所示的上述佈植類似,可形成遮罩如光阻於區域50N上並露出區域50P,且可佈植合適型態的雜質(如p型)至區域50P中露出的鰭狀物52中。接著可移除遮罩。之後可形成遮罩如光阻於區域50P上並露出區域50N,且可佈植合適型態的雜質(如n型)至區域50N中露出的鰭狀物52中。接著可移除遮罩。n型雜質可為任何前述的n型雜質,而p型雜質可為任何前述的p型雜質。輕摻雜源極/汲極區的雜質濃度可為約1015 cm-3 至約1018 cm-3 。可採用退火活化佈植的雜質。
在圖9A及9B中,形成閘極間隔物86於沿著虛置閘極72與遮罩74的側壁之閘極密封間隔物80上。閘極間隔物86的形成方法可為順應性沉積絕緣材料,接著非等向蝕刻絕緣材料。閘極間隔物86的絕緣材料可為氧化矽、氮化矽、氮氧化矽、碳氮化矽、上述之組合、或類似物。
在圖10A及10B中,形成磊晶的源極/汲極區82於鰭狀物52中,可施加應力至個別的通道區中以改善效能。磊晶的源極/汲極區82形成於鰭狀物52中,使每一虛置閘極72位於個別的多對相鄰的磊晶的源極/汲極區82之間。在一些實施例中,磊晶的源極/汲極區82可延伸至鰭狀物52中,亦可穿過鰭狀物52。在一些實施例中,閘極間隔物86用於使磊晶的源極/汲極區82與虛置閘極72隔有合適的橫向距離,避免磊晶的源極/汲極區82向外短接至最終鰭狀場效電晶體其後續形成的閘極。
區域50N如n型金氧半區中的磊晶的源極/汲極區82之形成方法,可為遮罩區域50P如p型金氧半區,並蝕刻區域50N中的鰭狀物52之源極/汲極區,以形成凹陷於鰭狀物52中。接著磊晶成長區域50N中的磊晶的源極/汲極區82於凹陷中。磊晶的源極/汲極區82可包含任何可接受的材料,比如適用於n型鰭狀場效電晶體的材料。舉例來說,若鰭狀物52為矽,則區域50N中的磊晶的源極/汲極區82包含的材料可施加拉伸應力至通道區58,比如矽、碳化矽、碳磷化矽、磷化矽、或類似物。區域50N中的磊晶的源極/汲極區82可具有自鰭狀物52的個別表面隆起的表面,且可具有晶面。
區域50P 如p型金氧半區中的磊晶的源極/汲極區82之形成方法,可為遮罩區域50N如n型金氧半區, 並蝕刻區域50P中的鰭狀物52的源極/汲極區以形成凹陷於鰭狀物52中。接著磊晶成長區域50P中的磊晶的源極/汲極區82於凹陷中。磊晶的源極/汲極區82可包含任何可接受的材料,比如適用於p型鰭狀場效電晶體的材料。舉例來說,若鰭狀物52為矽,區域50P中的磊晶的源極/汲極區82可包含施加壓縮應力至通道區58中的材料如矽鍺、硼化矽鍺、鍺、鍺錫、或類似物。區域50P中的磊晶的源極/汲極區82可具有自鰭狀物52的個別表面隆起的表面,且可具有晶面。
可佈植摻質至磊晶的源極/汲極區82及/或鰭狀物52以形成源極/汲極區,且佈植方法可與形成輕摻雜源極/汲極區的前述製程類似。之後可進行退火。源極/汲極區的雜質濃度可介於約1019 cm-3 至約1021 cm-3 之間。源極/汲極區所用的n型及/或p型雜質可為任何前述雜質。在一些實施例中,可在成長磊晶的源極/汲極區82時進行原位摻雜。
在形成磊晶的源極/汲極區82於區域50N與區域50P中的磊晶製程之後,造成磊晶的源極/汲極區的晶面向外橫向超出鰭狀物52的側壁。在一些實施例中,這些晶面造成相同的鰭狀場效電晶體之相鄰的源極/汲極區82合併,如圖10C所示。在其他實施例中,完成磊晶製程之後的相鄰的源極/汲極區82維持分開,如圖10D所示。在圖10C及10D所示的實施例中,形成閘極間隔物86以覆蓋鰭狀物52的側壁的一部分(其延伸高於淺溝槽隔離區56),進而阻擋磊晶成長。在一些其他實施例中,可調整形成閘極間隔物86所用的間隔物蝕刻,以移除間隔物材料,使磊晶成長區延伸至淺溝槽隔離區56的表面。
在圖11A及11B中,沉積第一層間介電層88於圖10A及10B所示的結構上。第一層間介電層88的組成可為介電材料,且其沉積方法可為任何合適方法如化學氣相沉積、電漿輔助化學氣相沉積、或可流動的化學氣相沉積。介電材料可包含磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、或類似物。亦可採用任何可接受的製程所形成的其他絕緣材料。在一些實施例中,接點蝕刻停止層87沉積於第一層間介電層88以及磊晶的源極/汲極區82、遮罩74、與閘極間隔物86之間。接點蝕刻停止層87可包含介電材料如氮化矽、氧化矽、氮氧化矽、或類似物,且與上方的第一層間介電層88具有不同蝕刻速率。
在圖12A及12B中,可進行平坦化製程如化學機械研磨,使第一層間介電層88的上表面與虛置閘極72或遮罩74的上表面齊平。平坦化製程亦可移除虛置閘極72上的遮罩74,以及閘極密封間隔物80與閘極間隔物86沿著遮罩74之側壁的部分。在平坦化製程之後,虛置閘極72、閘極密封間隔物80、閘極間隔物86、與第一層間介電層88的上表面齊平。綜上所述,自第一層間介電層88露出虛置閘極72的上表面。在一些實施例中可保留遮罩74,而平坦化製程使第一層間介電層88的上表面與遮罩74的上表面齊平。
在圖13A及13B中,蝕刻製程移除虛置閘極72 (與遮罩74,若存在),以形成凹陷90。可採用濕式化學蝕刻或乾蝕刻製程(如非等向的反應性離子蝕刻)。在移除虛置閘極72時,虛置介電層60可作為蝕刻停止層。在一些實施例中,蝕刻製程包含的蝕刻劑可選擇性蝕刻虛置閘極72 (與遮罩74,若存在),而不明顯蝕刻第一層間介電層88、接點蝕刻停止層87、閘極間隔物86、與閘極密封間隔物80。在圖13A及13B所示的一些實施例中,亦可採用對虛置介電層60的移除速率大於對通道區58、淺溝槽隔離區56、第一層間介電層88、閘極間隔物86、與閘極密封間隔物80的移除速率之蝕刻劑,移除凹陷90中的虛置介電層60的一部分。移除凹陷90中的虛置介電層60的一部分,以露出個別鰭狀物52的通道區58。每一通道區58位於多對相鄰的磊晶的源極/汲極區82之間。
應理解的是,不同於圖13A及13B所示的多種結構亦屬可能。舉例來說,一些其他實施例只移除虛置閘極72,並保留虛置介電層層60,且凹陷90露出虛置介電層60。在一些實施例中,可移除閘極密封間隔物80與虛置介電層60的部分。
圖14A至14K顯示形成置換閘極結構(介電層與導電層)的製程步驟。包括置換閘極介電層與導電層的結構,可視作最終閘極結構。此處所述的負電容場效電晶體與鐵電場效電晶體的鰭狀場效電晶體的最終閘極結構,其採用的閘極介電堆疊形成於通道區58上,並包括非鐵電的閘極介電層與鐵電的閘極介電層。如上述解釋,閘極介電堆疊包括鐵電的閘極介電層以產生負電容分量,其可與非鐵電的閘極介電層之電容結合,有助於增加結合的閘極介電堆疊之電容,使電晶體具有陡峭的次臨界斜率。由下式可知負電容分量可增加閘極介電堆疊之電容:1/(閘極介電堆疊的電容)=1/(非鐵電的閘極介電層的電容)-1/(鐵電的閘極介電層的負電容)。鐵電的閘極介電層的負電容,為鐵電的閘極介電層依據其鐵電特性所提供的負電容。電晶體的次臨界斜率越陡峭,則可在相同電源電壓下提供越高的驅動電流(比如較高的開啟電流/關閉電流比例),或在較低的電源電壓下提供相同的驅動電流(比如相同的開啟電流/關閉電流比例),以在相同切換速度下減少主動能耗。
圖14A及14B顯示置換閘極介電層。兩個介電層為非鐵電介電層如界面層73,與鐵電的閘極介電層91。鐵電的閘極介電層91可為高介電常數(比如大於氧化矽的介電常數)的介電層。在一些實施例中,鐵電的閘極介電層91之介電常數大於約7.0。可調整這些層的形成製程以得非鐵電的界面層73與鐵電的閘極介電層91之厚度與介電特性,以提供閘極介電堆疊所需的電容,以及特用於低待機電源(低關閉電流)應用的汲極電流對閘極電壓曲線,如下詳述。
在圖14A及14B所式的實施例中,可形成界面層73以與凹陷90中的通道區58之露出表面(如側壁與上表面)相鄰,且其形成方法可為化學氧化露出的半導體。氧化凹陷90中露出的通道區58之半導體,可形成一致的氧化物膜於露出表面上。在一些實施例中,通道區58的半導體可為矽或鍺,而界面層73可為氧化矽或氧化鍺。在一些實施例中,可進行熱氧化技術如快速熱氧化,其溫度為約500℃至約1000℃,並可採用稀釋的氧(濃度為0.01%至50%)。
在一些實施例中,可由濕式化學法氧化露出的半導體(如矽),比如將晶圓浸入稀釋臭氧化水浴,其溫度為約25℃至約80℃。臭氧濃度可介於1 ppm至10 ppm之間。舉例來說,可調整快速熱氧化所用的溫度及/或氧濃度,及/或稀釋臭氧化水浴製程所用的臭氧濃度,以調整界面層73的厚度(比如約0.5 nm至約2 nm)。在一些其他實施例中(未圖示),界面層73的形成技術可採用化學氣相沉積技術。
如圖14A及14B所示,順應性地沉積鐵電閘極介電層91,以覆蓋凹陷90之外的第一層間介電層88、接點蝕刻停止層87、閘極間隔物86、與閘極密封間隔物80。鐵電閘極介電層91更延伸至閘極密封間隔物80的側壁上、界面層73上、與鰭狀物52之通道區58的兩側側壁之外的淺溝槽隔離區56的露出表面上的凹陷90中。在此處所述的實施例中,最終閘極結構中的鐵電的閘極介電層91包含高介電常數的鐵電介電層,比如斜晶相的多晶氧化鉿。在一些實施例中,可採用摻質穩定化鐵電的閘極介電層91所用的鐵電材料的結晶相。舉例來說,摻質如矽、鑭、鋯、類似物、或上述之組合可穩定斜晶相的氧化鉿。舉例來說,可採用依序交錯的介電層將摻質導入氧化鉿,其中相鄰的介電層在摻質源層與高介電常數的介電層(如非晶氧化鉿層)之間交錯。在形成依序交錯的介電層之後,可進行熱處理(如沉積後退火)以導入摻質,並將依序交錯的介電層轉換成穩定的鐵電的閘極介電層91。調整摻質源層的形成製程(如原子層沉積或電漿輔助原子層沉積製程)與將摻質摻入多晶氧化鉿的斜晶向晶粒的製程(如沉積後退火製程)的參數,可調整鐵電閘極介電層91的鐵電性質與負電容。在一些實施中,可採用其他介電材料如氧化鋯或氧化鉿-氧化鋯固體溶液,且可採用摻雜如鎂、鋁、或釔。形成鐵電的閘極介電層91的方法,將搭配圖14B的區域75詳述於下。
圖14C至14G顯示多個實施例中,形成鐵電的閘極介電層91之中間製程步驟的詳細剖視圖。在圖14C至14G所示的例子中,依序交錯的介電層93所需的厚度可為約1 nm至約10 nm,比如3 nm。依序交錯的介電層93形成於界面層73上,且界面層73的厚度為約0.5 nm至約2 nm,比如約10 Å。依序交錯的介電層93包括底部高介電常數的介電層77B (與界面層73相鄰)與一或多對的介電層形成於底部高介電常數的介電層77B上,其中一對介電層包括摻質源層79與形成於摻質源層79上的高介電常數的介電層77。頂部的一對介電層之高介電常數的介電層為頂部高介電常數的介電層77U,且底部高介電常數的介電層77B與頂部高介電常數的介電層77U之間的任一對介電層之高介電常數的介電層,可視作中間高介電常數的介電層77I。底部高介電常數的介電層77B、中間高介電常數的介電層77I、與頂部高介電常數的介電層77U可一起視作高介電常數的介電層77。圖14C至14G所示的例子中,摻質源層79的厚度可為約0.5 Å至約20 Å,比如5 Å。圖14C至14G中的每一實施例差別在於摻質源層79與界面層73的相對位置,如下詳述。
最終的負電容場效電晶體與鐵電場效電晶體裝置包括界面層73與鐵電的閘極介電層91。在採用鐵電的閘極介電層91的實施例中,界面層73可決定最終的負電容場效電晶體與鐵電場效電晶體裝置的電流-電壓與電容-電壓特性是否穩定(比如無磁滯)。若界面層73小於0.5 nm,則電性可能不穩定。若界面層73大於5 nm,則總閘極電容對目標電晶體的設計而言可能過低。
雖然圖14C至14G所示的實施例採用三個高介電常數的介電層77與兩個摻質源層79,但應理解其他實施例可採用不同數目的高介電常數的介電層77及/或不同數目的摻質源層79。亦應理解的是,雖然圖14C至14G所示的實施例中,依序交錯的介電層93之第一層與最後一層均為高介電常數的介電層77,其他實施例之依序交錯的介電層93中的第一層及/或最後一層可為摻質源層79。
圖14C係一實施例中,均勻摻質之依序交錯的介電層93。在圖14C中,將兩個摻質源層79以大致相同間隔,搭配底部高介電常數的介電層77B、中間高介電常數的介電層77I、與頂部高介電常數的介電層77U置於界面層73上,且高介電常數的介電層各自厚約0.05 nm至約3 nm (比如厚0.5 nm)。底部高介電常數的介電層77B、中間高介電常數的介電層77I、與頂部高介電常數的介電層77U之大致相同厚度,與依序交錯的介電層93之總厚度之間的比例,可為約0.005至約0.3 (比如0.2)。
圖14D係一實施例中,頂部摻質之依序交錯的介電層93。在圖14D中,兩個最靠近的摻質源層79靠近依序交錯的介電層93之頂部(遠離界面層73),其形成方法可為形成較厚的底部高介電常數的介電層77B,其厚度為約0.5 nm至約5 nm,比如1 nm。底部高介電常數的介電層77B與依序交錯的介電層93之總厚度之間的比例,大致為約0.5至約0.9,比如0.7。如圖14D所示之中間高介電常數的介電層77I之厚度,可大致等於頂部高介電常數的介電層77U之後。每一高介電常數的介電層(如中間高介電常數的介電層77I或頂部高介電常數的介電層77U)之厚度可為約0.05 nm至約2 nm,比如約0.5 nm。每一高介電常數的介電層(如中間高介電常數的介電層77I或頂部高介電常數的介電層77U)之厚度,與依序交錯的介電層93之總厚度之間的比例,可為約0.01至約0.3,比如約0.1。
圖14E所示的實施例為中間摻質依序交錯的介電層93。在圖14E中,兩個摻質源層79隔有較薄的中間高介電常數的介電層77I,並以依序交錯的介電層93的中心大致對稱。較薄的中間高介電常數的介電層771之厚度可用於分開兩個摻質源層79,其可為約0.05 nm至約2 nm (比如約0.5 nm)。中間高介電常數的介電層77I之厚度與依序交錯的介電層93之總厚度之間的比例,大致為約0.01至約0.3,比如0.1。在圖14E所示的例子中,底部高介電常數的介電層77B與頂部高介電常數的介電層77U的厚度大致相同,且各自為約0.2 nm至約4 nm (比如1 nm)。底部高介電常數的介電層77B與頂部高介電常數的介電層77U各自的厚度與依序交錯的介電層93的厚度之間的比例,可為約0.2至約0.4 (比如0.3)。
圖14F所示的實施例為底部摻質的依序交錯的介電層93。在圖14F中,兩個緊鄰的摻質源層靠近界面層73,其形成方法可為形成較薄的底部高介電常數的介電層77B,其厚度可為約0.05 nm至約2 nm,比如1 nm。底部高介電常數的介電層77B之厚度與依序交錯的介電層93之總厚度之間的比例,可為約0.05至約0.3,比如0.1。兩個緊鄰的摻質源層79之間的中間高介電常數的介電層77I之厚度,可為約0.05 nm至約2 nm,比如0.5 nm。兩個緊鄰的摻質源層79之間的中間高介電常數的介電層77I之厚度,與依序交錯的介電層93之總厚度之間的比例,大致為約0.05至約0.3,比如0.1。在圖14F所示的例子中,較厚的頂部高介電常數的介電層77U可形成於摻質源層上,且厚度為約0.5 nm至約5 nm,比如約2 nm。頂部高介電常數的介電層77U之厚度,與依序交錯的介電層93之總厚度之間的比例,大致為約0.5至約0.9,比如0.7。
圖14G中的實施例為邊緣摻質的依序交錯的介電層93。在圖14G中,摻質源層靠近依序交錯的介電層93之兩邊緣,其形成方法可為形成較薄的底部高介電常數的介電層77B與頂部高介電常數的介電層77U,並形成較厚的中間高介電常數的介電層77I於兩個摻質源層79之間。底部高介電常數的介電層77B與頂部高介電常數的介電層77U的厚度可大致相同,且可為約0.05 nm至約2 nm,比如0.5 nm。底部高介電常數的介電層77B與頂部高介電常數的介電層77U各自的厚度與依序交錯的介電層93之總厚度之間的比例,可大致為約0.05至約0.3,比如0.1。用於分開兩個摻質源層79之較厚的中間高介電常數的介電層77I,其厚度可為約0.5 nm至約9 nm,比如2 nm。中間高介電常數的介電層77I與依序交錯的介電層93之總厚度之比例大致為約0.5至約0.9,比如0.7。
分析圖14C至14G的例子,可知調整依序交錯的介電層93中的摻質源層79的位置,可改變鐵電的閘極介電層91之摻質濃度輪廓與鐵電特性,如搭配圖14L及14M說明的下述內容。
如圖14C至14G所示,在高介電常數的介電層77 (比如非晶氧化鉿層)與摻質源極層79之間的依序交錯的介電層,其沉積於界面層73可採用化學氣相沉積、原子層沉積、電漿輔助原子層沉積、類似方法、或上述之組合。舉例來說,摻質源層79的材料可包含氧化矽、氧化鑭、或氧化鋯以分別用於摻質源如矽、鑭、或鋯。
在採用原子層沉積技術形成依序交錯的介電層93之一些實施例中,可在沉積高介電常數的介電層77 (如非晶氧化鉿層)之前,準備羥基化的表面(以氫原子封端)。沉積高介電常數的介電層77之每一原子層沉積反應循環,可包含兩個反應脈衝以及每一反應脈衝之後的淨化。在第一反應脈衝時,可採用第一前驅物氣體如四氯化鉿或烷基胺基鉿(如四(乙基甲基胺基)鉿、四(二甲基胺基)鉿、或四(二乙基胺基)鉿)作為形成氧化鉿所需的鉿原子源。將鉿源氣體導入反應腔室的流速可為約100 sccm至約1000 sccm,將載氣如氮氣、氬氣、或氦氣導入反應腔室的流速可為約100 sccm至約1000 sccm,且將鉿源氣體與載氣導入反應腔室的時間可為約0.1秒至約60秒。腔室壓力可為約1 Torr至約10 Torr,且溫度可為約200℃至約400℃。表面與氣體的反應發生在前驅物氣體的鉿取代表面原子處,且新的表面以前驅物分子的多對配體(若採用四(乙基甲基胺基)鉿作為前驅物,則多對的配體為乙基甲基胺基)封端,且每一對的配體結合至一鉿原子。
第一淨化脈衝歷時約0.1秒至約60秒,其採用的淨化氣體如氮氣、氬氣、或氦氣之流速可為約100 sccm至約10000 sccm,以自腔室移除副產物與多餘的鉿源前驅物氣體。
第一淨化脈衝之後可進行原子層沉積反應循環的第二反應脈衝,其可將第二前驅物氣體如臭氧、氧氣、水、或重水導入反應腔室,以提供形成氧化鉿所需的氧原子。在一些實施例中,導入氧源氣體的流速可為約100 sccm至約10000 sccm,而導入載氣如氮氣、氬氣、或氦氣的流速可為約100 sccm至約10000 sccm,且歷時約0.1秒至約60秒。腔室壓力可為約1 Torr至約10 Torr,且溫度可為約200℃至約400℃。與第二前驅物氣體之表面-氣體反應,可使表面的配體自鉿原子脫離。在採用水或重水的實施例中,自由配體可與氫結合(如(甲基乙基胺基)氫或(甲基乙基胺基)氘)以形成氣態副產物,而來自水或重水的-OH或-OD可與鉿結合以產生氫原子或氘原子封端的新羥基化表面。在採用臭氧或氧氣的實施例中,分離的配體會進行後續氧化反應,以形成最終副產物如水、甲醛、二氧化碳、一氧化氮、二氧化氮、或類似物。存在於副產物水中的羥基可使表面再羥基化。舉例來說,一些實施例的第二反應脈衝包括導入臭氧(流速為約500 sccm至約10000 sccm)與氮氣或氬氣,且歷時約1秒至約20秒。腔室壓力可為約1 Torr至約10 Torr,而溫度可為約200℃至約400℃。在此實施例中,亦可採用氮氣或氬氣作為淨化氣體。
可進行第二淨化脈衝(與前述的第一淨化脈衝類似),以自反應腔室移除副產物與多餘的氧源氣體。
舉例來說,可重複任意數目的上述原子層沉積反應循環,比如採用第一前驅物氣體(鉿源氣體)的第一反應脈衝、第一淨化脈衝、採用第二前驅物氣體(氧源氣體)的第二反應脈衝、與第二淨化脈衝,以形成所需厚度的高介電常數的介電層77 (如非晶氧化鉿層)。
在一些實施例中,可採用電漿輔助原子層沉積製程以形成高介電常數的介電層77 (如非晶氧化鉿層)。舉例來說,可採用與前述熱原子層沉積製程所用的氣體與製程參數類似的方式,進行電漿輔助原子層沉積製程的第一反應脈衝與第一淨化脈衝。
在電漿輔助原子層沉積反應循環的第二反應脈衝時,直接電漿或遠端電漿可導入氧源前驅物氣體。射頻功率可為約10 W至約2000 W,其頻率可為約10 MHz至約20 MHz,且採用的直流電偏壓可為約0 V至約100 V。電漿可採用臭氧、氧氣、水、或重水作為製程氣體(其流速可為約100 sccm至約10000 sccm)與載氣如氬氣或氦氣(其流速可為約100 sccm至約10000 sccm),且導入製程氣體與載氣的時間可為約0.1秒至約60秒。腔室壓力可為約1 Torr至約10 Torr。
電漿可增加氧化物種的反應性,因此可減少電漿輔助原子層沉積製成的腔室溫度(相對於上述的熱原子層沉積製程)。舉例來說,電漿輔助原子層沉積製程所用的溫度可為約100℃至約400℃。
第二淨化脈衝與上述的第一淨化脈衝類似,其可自反應腔室移除副產物與多餘的氧源氣體。
在採用電漿輔助的原子層沉積之實施例中,由於製程溫度降低,可採用有機金屬的鉿前驅物作為第一前驅物氣體(以取代第一前驅物氣體如四氯化鉿或烷基胺基鉿)。舉例來說,第一反應脈衝時可採用茂基三(二甲基胺)鉿。
摻質源層79的形成方法亦可採用原子層沉積製程。舉例來說,摻質源層79的形成方法可採用原子層沉積反應循環,其中第一前驅物氣體可提供摻質源層79的摻質原子(如矽源氣體、鑭源氣體、或鋯源氣體)。矽摻質所用的前驅物可為四氯化矽、矽烷、3-氨丙基三甲基矽烷、乙矽烷、或類似物。鑭摻質所用的前驅物可為三茂基鑭、三(2,2,6,6-四甲基-3,5-庚二酮酸)鑭、三(N,N'-二異丙基甲脒)鑭、或類似物。鋯摻質所用的前驅物可為四氯化鋯、茂基三(二甲基胺基)鋯、四(甲基乙基胺基)鋯、四(二甲基胺基)鋯、或類似物。第一反應脈衝可歷時0.1秒至約60秒,而導入反應腔室的摻質前驅物氣體流速可為約100 sccm至約10000 sccm,且導入反應腔室的載氣如氮氣、氬氣、或氬氣的流速可為約100 sccm至約10000 sccm。腔室壓力可為約1 Torr至約10 Torr,而溫度可為約200℃至約400℃。
原子層沉積製程的第一反應脈衝之後可進行淨化脈衝,接著可進行第二反應脈衝。第二反應脈衝時,可將第二前驅物氣體如臭氧、氧氣、水、或重水導入反應腔室,以提供表面與氣體反應形成摻質氧化物的單層所需的氧源。第二反應脈衝可歷時0.1秒至約60秒,且氧源氣體導入反應腔室的流速可為約100 sccm至約10000 sccm,而載氣導入反應腔室的流速可為約100 sccm至約10000 sccm。在第二反應脈衝之後,可進行另一淨化製程以完成一次反應循環,如上所述。
在一些實施例中,電漿輔助原子層沉積製程可用於形成摻質源層79。舉例來說,可採用與前述熱原子層沉積製程所述的氣體與製程參數類似的方式,進行電漿輔助原子層沉積製程的第一反應脈衝與第一淨化脈衝。
一些實施例在電漿輔助原子層沉積反應循環的第二反應脈衝時,直接電漿或遠端電漿可導入氧源前驅物。射頻功率可為約10 W至約2000 W,而頻率可為10 MHz至約20 MHz,且採用的直流電偏壓可為約0 V至約100 V。電漿可採用臭氧、氧氣、水、或重水作為製程氣體(其流速為約100 sccm至約10000 sccm),並採用載氣如氬氣或氦氣(其流速為約100 sccm至約10000 sccm),且導入製程氣體與載氣的時間為約0.1秒至約60秒。腔室壓力可為約1 Torr至約10 Torr。電漿可增加氧化物種的反應性,因此可降低電漿輔助原子層沉積製程溫度(相對於前述的熱原子層沉積製程)。舉例來說,電漿輔助原子層沉積製程的溫度可為約100℃至約400℃。
在採用電漿輔助原子層沉積的實施例中,可採用額外的前驅物以用於摻質(比如用於矽摻質的二(二乙胺)矽烷、用於鑭摻質的三(異丙基茂基)鑭、或用於鋯摻質的三(二甲胺基)茂基鋯)。
在一些實施例中,可採用超過一種摻質物種。在形成個別層狀物時,可控制原子層沉積/電漿輔助原子層沉積摻質反應循環與氧化鉿反應循環的數目,以精準控制每一摻質源層79與每一高介電常數的介電層77之厚度。可在形成依序交錯的介電層93的原子層沉積(及/或電漿輔助原子層沉積)的反應循環的適當處之氧化鉿反應循環之間,插入必要數目的摻質反應循環,以精準控制摻質源層79的物理位置。
在圖14H中,可在完成沉積依序交錯的介電層93之後,進行沉積後退火。在一些實施例中,在氮氣環境或稀釋的氧氣環境中進行沉積後退火。沉積後退火可為快速熱退火(其溫度為約600℃至約1100℃,且浸入時間為約10秒至約10分鐘),或峰值退火(其溫度為約750℃至約1100℃)。沉積後退火可用於將非鐵電之依序交錯的介電層93轉換成鐵電的閘極介電層91。舉例來說,一些實施例的沉積後退火可使非晶的氧化鉿層如高介電常數的介電層77結晶,並穩定晶粒相至斜晶相的多晶氧化鉿。在沉積後退火使非晶氧化鉿結晶時,可將摻質原子自摻質源層79導入氧化鉿結晶。在一些實施例中,導入鐵電的閘極介電層91的摻質原子數,與存在於鐵電的閘極介電層91的原子總數之間的比例,可為約0.5%至約30% (對矽摻質而言)、約0.5%至約50% (對鑭摻質而言)、或約5%至約80% (對鋯摻質而言),以提供穩定的鐵電的閘極介電層91。若摻質原子比例小於上述範圍,則不足以穩定多晶氧化鉿的斜方晶向,造成材料的鐵電特性降低。摻質原子比例大於上述範圍,則會減少穩定於斜方晶向中的多晶氧化鉿材料的比例,造成鐵電閘極介電層91的殘留極化減少。殘留極化減少會造成負電容不足。
鐵電閘極介電層91的厚度可為約1 nm至約10 nm。若鐵電閘極介電層91的厚度小於1 nm,則最終負電容場效電晶體與鐵電場效電晶體裝置的閘極介電層其漏電流大及/或時間相關的介電崩潰壽命短。若鐵電的閘極介電層91的厚度大於10 nm,則整體的閘極電容對目標的電晶體設計而言會過低。在採用原子層沉積或電漿輔助原子層沉積形成鐵電的閘極介電層91的實施例中,可精準控制其厚度。除了改變摻質源層的位置,還可改變沉積後退火的製程參數如熱預算,以調整最終摻質濃度輪廓與鐵電特性。
圖14I及14J亦顯示閘極94。閘極94沉積於鐵電的閘極介電層91上,並填入凹陷90的其餘部分。在填入閘極凹陷90之後,可進行平坦化製程如化學機械研磨,以自第一層間介電層88的上表面上移除鐵電的閘極介電層91與閘極94的多餘部分。圖14I及14J顯示完成平坦化步驟之後的閘極結構。如圖14J所示的鰭狀場效電晶體的剖視圖中,閘極94鑲嵌於兩個閘極密封間隔物80之間。剖視圖沿著鰭狀物52的縱軸(如圖1所示的參考剖面B-B)。如圖14I所示的鰭狀場效電晶體的剖視圖中,閘極結構位於兩個鰭狀物52與淺溝槽隔離區56上。剖視圖沿著閘極94的縱軸(如圖1所示的參考剖面A-A)。在圖14I中,閘極結構包括界面層73、鐵電的閘極介電層91、與閘極94,且沿著鰭狀物52的通道區58之上表面與側壁延伸。在一些實施例中,鐵電的閘極介電層91與閘極94可進一步延伸於鰭狀物52的通道區58之兩側側壁之外的淺溝槽隔離區56上。
圖14K顯示圖14J的區域89之細節圖。如圖14K所示,閘極94可包括含金屬材料如氮化鈦、氮化鈦矽、氧化鈦、氮化鉭、氮化鉭矽、碳化鉭、鈷、釕、鋁、鎢、上述之組合、或上述之多層。舉例來說,雖然圖14J顯示單層的閘極94,閘極94可包含任意數目的蓋層94A (亦視作襯墊層)、任何數目的功函數調整層94B、與填充材料94C,如圖14K所示。可視情況在沉積蓋層94A之後進行退火。在一些實施例中,依序交錯的介電層93較厚(比如大於3 nm),可視情況進行沉積後退火步驟,並可採用沉積蓋層後退火,以將非鐵電的依序交錯的介電層93轉換成鐵電的閘極介電層91。
在一些實施例中,可同時形成鐵電的閘極介電層91於區域50N與區域50P中,使每一區中的鐵電的閘極介電層91之組成可為相同材料。但可由分開製程形成閘極94,使閘極94可包含不同材料,以達n型金氧半與p型金氧半電晶體的個別閘極94所需的不同功函數。在一些實施例中,可採用相同或分開的製程之其他組合,以形成鐵電的閘極介電層91及/或閘極94於每一區中。在進行分開製程時,可採用多種遮罩步驟以遮罩並露出合適區域。
圖14L顯示對應圖14C至14G的五個實施例之一維摻質濃度輪廓。圖14C至14G的個別摻質濃度輪廓標示於圖14L中。圖14所示的五個樣品的摻質濃度輪廓為導入鐵電的閘極介電層91之矽摻質(見圖14H至14J),其形成方法採用相同的沉積後退火製程條件,比如溫度約900℃的峰值退火。圖14L係摻質濃度輪廓對深度的圖式,而深度方向垂直於源極/汲極區之間的電流方向。自負電容場效電晶體的鰭狀場效電晶體的閘極94至半導體通道區58的方向中的深度增加,如圖14I及14J所示。圖14L所示的水平箭頭表示閘極94、閘極介電堆疊(比如鐵電的閘極介電層91與非鐵電的界面層73)、與半導體通道區58的大致位置。舉例來說,可由能量色散X光光譜與穿透式電子顯微鏡的電子束之電子能量損失光譜確認輪廓。
如圖14L所示的資料,可依據摻質源層79的位置改變摻質輪廓。舉例來說,圖14C至14G所示的多種實施例之間,可改變閘極介電堆疊中的摻質濃度峰值位置。對應依序交錯的介電層93的頂部摻質與邊緣摻質的摻質輪廓(見圖14D及14G),可在靠近閘極介電堆疊的頂部界面(閘極94與鐵電的閘極介電層91之間的界面)呈現峰值。採用均勻摻質的依序交錯的介電層93 (見圖14C)或中間摻質的依序交錯的介電層93 (見圖14E)的實施例,其摻質輪廓的峰值靠近閘極介電堆疊的中心。
本發明實施例所述的方法形成之鐵電的閘極介電層91,其摻質輪廓具有較高的摻質濃度漸變特性。在一些實施例中,如圖14L所示的摻質濃度輪廓,摻質濃度在短距離中自最大值的一半提高到峰值,並降回最大值的一半。此距離可視作摻質濃度輪廓的半高寬。在圖14L所示的例子中,含有鐵電的閘極介電層91與非鐵電的界面層73之閘極介電堆疊的厚度大致為2.4 nm,且摻質濃度分布的半高寬大致為1.8 nm、0.98 nm、1.5 nm、與1.1 nm以分別對應均勻摻質、頂部摻質、中間摻質、與邊緣摻質的依序交錯的介電層93。在一些實施例中,鐵電的閘極介電層91之摻質濃度輪廓的半高寬可為約0.3 nm至約3 nm,而峰值摻質濃度可為約1013 cm-2 至約1015 cm-2 。在一些實施例中,沿著與半導體通道區58相鄰的界面層表面之摻質濃度,可為約1x1013 cm-2 至約1x1015 cm-2
採用邊緣摻質的依序交錯的介電層93 (見圖14G)具有兩個分開區域,其摻質濃度對深度的曲線為凸起(如雙峰摻質輪廓)。若在任何深度從頂部向下觀察的曲線為凸形(或凹形),則摻質濃度對深度的曲線曲率在此深度視作凸形(或凹形)。舉例來說,最大值附近的曲率是凸形,而最小值附近的曲率是凹形。雖然邊緣摻質的實施例中的摻質源層大致對稱於依序交錯的介電層93之中心(見圖14G),圖14L中的個別摻質輪廓14G不對稱,其摻質主要導入鐵電的閘極介電層91之上側部分(遠離界面層73的部分)。
圖14L顯示一些實施例中,鐵電的閘極介電層91之摻質輪廓可依據摻質源層的位置改變,比如改變形成依序交錯的介電層93之反應脈衝的順序。圖14M顯示摻質輪廓的個別變化,可調整鐵電的介電層之電性。舉例來說,圖14M顯示在形成依序交錯的介電層93時改變摻質源層79的位置,比如圖14C至14G所示之形成依序交錯的介電層93的多種例子,可達鐵電的閘極介電層91的鐵電特性差異。圖14M中的圖表所比較的鐵電特性,為鐵電閘極介電層91的殘留極化。一般而言,介電材料中的電極化為電場的函數。一般而言,電場=0則電極化=0。但在鐵電介電層中,電極化對電場的曲線非線性且具有磁滯迴線,因此電場=0時的電極化≠0。電極化=0時的電場為殘留極化。施加到力的反向電場(視作矯頑場)可為0。磁滯的上升部分上的殘留極化(與矯頑場)的極性,與下降部分上的個別極性相反。殘留極化可提供負電容,因此提供較陡峭的次臨界斜率與開啟電流/關閉電流比例。綜上所述,選擇的實施例根據所需的電性,摻質源層79的位置可提供高殘留極化。如上詳述,在形成依序交錯的介電層93所用的原子層沉積(及/或電漿輔助原子層沉積)反應循環中,可依序進行摻質反應循環與氧化鉿反應循環,以精準控制摻質源層的位置至原子等級。這有助於減少裝置電性的變異,進而改善製造良率。
圖14M顯示對應圖14C至14G的五個實施例之不同殘留極化值。圖14C至14G的個別摻質輪廓與殘留極化標示於圖14L及14M中。此外,第六殘留極化(圖14M中標示為A點)對應相同製程所形成的未摻雜氧化鉿層,除了沉積對應介電層所用的原子層沉積及/或電漿輔助原子層沉積反應循環,只有氧化鉿反應循環。綜上所述,沉積後退火製程時不存在摻質源層79。在圖14M中,A點標示的殘留極化與14C至14G標示的殘留極化間的比較,指出多晶氧化鉿層中包含的摻質可增加鐵電的閘極介電層91的殘留極化,以穩定斜方晶向中增加的晶粒。此可提供較陡峭的次臨界斜率與輸入電流/輸出電流的比例,如上所述。圖14M所示的結果更指出摻質源層79在依序交錯的介電層93中的位置,可用於調整鐵電的閘極介電層91之鐵電特性如殘留極化與矯頑場。舉例來說,圖14C及14E標示的殘留極化值(分別對應圖14C及14E所示的均勻摻質與中間摻質)低於圖14D、14F、及14G標示的殘留極化值(分別對應圖14D、14F、及14F所示的頂摻質、底摻質、與邊緣摻質)。
在一些實施例中,可調整依序交錯的介電層93中的摻質源層79之物理位置,使最終負電容場效電晶體與鐵電場效電晶體中的鐵電的閘極介電層91之殘留極化自約0.1 μC/cm2 改變至約10 μC/cm2 。在採用原子層沉積及/或電漿輔助原子層沉積技術沉積摻質源層79與高介電常數的閘極介電層77時,可精準控制摻質源層79的物理位置與厚度。如圖14M所示,圖14D、14F、及14G的實施例與圖14C及14E的實施例相較,具有較高的殘留極化。圖14L的兩組摻質輪廓之間的比較中,一組包含圖14D、14F、及14G,而另一組包含圖14C及14E。上述比較指出大部分摻質遠離鐵電的閘極介電層91之中心的不均匀掺質輪廓,可提供較高的殘留極化。較高的殘留極化可提供更負的電容,因此提供更陡峭的次臨界斜率與開啟電流/關閉電流比例,如上所述。精準控制摻質源層79的位置以控制鐵電特性,有利於設計關閉特性改良的穩定負電容場效電晶體。
在圖15A及15B中,沉積第二層間介電層108於第一層間介電層88上。在一些實施例中,第二層間介電層108為可流動的化學氣相沉積法所形成的可流動膜。在一些實施例中,第二層間介電層108的組成為介電材料如磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、或類似物,且其沉積方法可為任何合適方法如化學氣相沉積或電漿輔助化學氣相沉積。在一些實施例中,形成第二層間介電層108之前,可使閘極堆疊(含鐵電閘極介電層91與對應的上方閘極94)凹陷,而凹陷直接形成於閘極堆疊之上與閘極間隔物86的兩側部分之間,如圖15A及15B所示。將閘極遮罩96 (包括一或多層的介電材料如氮化矽、氮氧化矽、或類似物)填入凹陷,接著以平坦化製程移除延伸於第一層間介電層88上的閘極遮罩96所用的介電材料之多餘部分。後續形成的閘極接點110 (圖16A及16B)穿過閘極遮罩96,以接觸凹陷的閘極94之上表面。
在圖16A及16B的一些實施例中,形成閘極接點110與源極/汲極接點112穿過第二層間介電層108與第一層間介電層88。形成源極/汲極接點112所用的開口穿過第一層間介電層88與第二層間介電層108,並形成閘極接點110所用的開口穿過第二層間介電層108與閘極遮罩96。開口的形成方法可採用可接受的光微影與蝕刻技術。形成襯墊層(如擴散阻障層、黏著層、或類似物)與導電填充材料於開口中。襯墊層可包含鈦、氮化鈦、鉭、氮化鉭、或類似物。導電材料可為銅、銅合金、銀、金、鎢、鈷、鋁、鎳、或類似物。可進行平坦化製程如化學機械研磨,以自第二層間介電層108的表面移除多於材料。保留的襯墊層與導電填充材料可形成源極/汲極接點112與閘極接點110於開口中。可進行退火製程以形成矽化物於磊晶的源極/汲極區82與源極/汲極接點112之間的界面。源極/汲極接點112物理且電性耦接至磊晶的源極/汲極區82,而閘極接點110物理且電性耦接至閘極94。可由不同製程或相同製程形成源極/汲極接點112與閘極接點110。雖然圖式中的接點形成於相同剖面,但應理解源極/汲極接點112與閘極接點110的每一者可形成於不同剖面以避免接點短路。
本發明實施例提供負電容場效電晶體與鐵電場效電晶體裝置的製作方法,其採用的鐵電的介電膜具有可調的鐵電特性(如殘留極化與矯頑場),比如負電容場效電晶體與鐵電場效電晶體裝置的閘極介電堆疊之鐵電的閘極介電層91。採用此處所述的實施例之優點之一,係改變含有高介電常數的介電層77與摻質源層79之依序交錯的介電層93中的摻質源層位置即可調整鐵電特性,其中採用原子層沉積及/或電漿輔助原子層沉積技術,可使摻質源層79的位置達到原子級的準確度。在沉積依序交錯的介電層93之後,可採用沉積後退火將依序交錯的介電層93轉換成鐵電閘極介電膜。如上所述,控制鐵電特性可提供穩定的負電容場效電晶體與鐵電場效電晶體的鰭狀場效電晶體裝置,其具有陡峭的次臨界斜率。因此本發明實施例所形成的鰭狀場效電晶體互補式金氧半積體電路具有較高效能,與較低的待機能耗。
在一實施例中,半導體裝置的形成方法包括:形成一閘極介電堆疊,且形成閘極介電堆疊的步驟包括:形成界面層於基板的表面之至少一部分上;以及形成鐵電的閘極介電層於界面層上,且形成鐵電的閘極介電層的步驟包括:形成依序交錯的多個介電層,且形成依序交錯的該些介電層的步驟包括:沉積第一高介電常數的介電層於界面層上,其中第一高介電常數的介電層之至少一部分為非晶;以及形成多對的介電層於第一高介電常數的介電層上,且形成每一多對的介電層之步驟包括:沉積摻質源層;以及沉積第二高介電常數的介電層於摻質源層上,其中第二高介電常數的介電層之至少一部分為非晶;以及在形成依序交錯的介電層之後,進行第一退火以將第一高介電常數 的介電層與依序交錯的介電層轉換成該鐵電的閘極介電層。在一實施例中,形成依序交錯的介電層之步驟,包括進行原子層沉積製程。在一實施例中,進行原子層沉積製程的步驟,包括進行一或多個電漿輔助化學反應脈衝於原子層沉積製程的一或多個反應循環中。在一實施例中,方法更包括形成導電層於閘極介電堆疊上。在一實施例中,進行第一退火之前,形成依序交錯的介電層上的導電層的至少一部分。在一實施例中,形成依序交錯的介電層之步驟包括:形成含有第一摻質物種的第一摻質源層;以及形成含有第二摻質物種的第二摻質源層。在一實施例中,沉積第一高介電常數的介電層或沉積第二高介電常數的介電層之步驟包括沉積氧化鉿。在一實施例中,摻質源層包括矽、鑭、或鋯原子。在一實施例中,形成鐵電的閘極介電層之步驟更包括:沉積另一摻質源層於多對的介電層之頂部的一對介電層之頂部的高介電常數的介電層上。
在一實施例中,半導體裝置的形成方法包括:形成界面層於基板的表面的至少一部分上;形成第一氧化鉿層於界面層上;沉積第一摻質源層於第一氧化鉿層上;形成第二氧化氧化鉿層於第一摻質源層上;沉積第二摻質源層於第二氧化鉿層上;形成第三氧化鉿層於第二摻質源層上;以及進行第一退火使第一氧化鉿層、第一摻質源層、第二氧化鉿層、第二摻質源層、與第三氧化鉿層轉變成鐵電的介電層,且鐵電的介電層為斜晶相的多晶氧化鉿介電層。在一實施例中,沉積第一氧化鉿層、第二氧化鉿層、與第三氧化鉿層的步驟包括進行原子層沉積製程。在一實施例中,方法更包括形成一或多個額外的氧化鉿層,並形成一或多個額外的摻質源層,其中相鄰的氧化鉿層隔有一或多個額外的摻質源層之一者。在一實施例中,頂部的氧化鉿層與底部的氧化鉿層之厚度,小於夾設於頂部的氧化鉿層與底部的氧化鉿層之間的中間氧化鉿層之厚度。在一實施例中,頂部的氧化鉿層與底部的氧化鉿層之厚度,大於夾設於頂部的氧化鉿層與底部的氧化鉿層之間的中間氧化鉿層之厚度。在一實施例中,第一氧化鉿層、第二氧化鉿層、與一或多個額外的氧化鉿層之厚度相同。
在一實施例中,半導體裝置包括;半導體區;界面層,位於半導體區上,且界面層可為非鐵電的介電材料;鐵電的介電層,位於界面層上,其中鐵電的介電層為斜方晶的多晶摻雜氧化鉿,其中鐵電的介電層中的摻質不均勻地分布於鐵電的介電層中;以及導電材料,位於鐵電的介電層上。在一實施例中,鐵電的介電層中的摻質輪廓具有至少兩個分開的凸起區。在一實施例中,摻質輪廓的一峰值偏離鐵電的介電層之下表面與上表面。在一實施例中,界面層與鐵電的介電層形成介電堆疊,其中介電堆疊的電容為負值以用於至少一些閘極偏置範圍。在一實施例中,鐵電的介電層之厚度介於1 nm至10 nm之間。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
A-A,B-B,C-C:參考剖面 50:基板 50N,50P:區域 51:分隔線 52:鰭狀物 54:絕緣材料 56:隔離區 58:通道區 60:虛置介電層 62:虛置閘極層 64:遮罩層 72:虛置閘極 73:界面層 74:遮罩 75,89:區域 77:高介電常數的介電層 77B:底部高介電常數的介電層 77I:中間高介電常數的介電層 77U:頂部高介電常數的介電層 79:摻質源層 80:閘極密封間隔物 82:源極/汲極區 86:閘極間隔物 87:接點蝕刻停止層 88:第一層間介電層 90:凹陷 91,92:閘極介電層 93:依序交錯的介電層 94:閘極 94A:蓋層 94B:功函數調整層 94C:填充材料 96:閘極遮罩 108:第二層間介電層 110:閘極接點 112:源極/汲極接點
圖1係一些實施例中,鰭狀場效電晶體的三維圖。 圖2至7、8A、8B、9A、9B、10A~10D、11A、11B、12A、12B、13A、13B、14A至14K、15A、15B、16A、及16B係一些實施例中,製造負電容場效電晶體的鰭狀場效電晶體與鐵電場效電晶體之中間階段的剖視圖。 圖14L係一些實施例中,沿著切穿負電容場效電晶體的鰭狀場效電晶體與鐵電場效電晶體的閘極結構中心的一維摻質輪廓圖。 圖14M係一些實施例中,負電容場效電晶體的鰭狀場效電晶體與鐵電場效電晶體的鐵電閘極介電層,對應圖14L中的摻質輪廓圖的殘留極化圖。
58:通道區
73:界面層
75:區域
77B:底部高介電常數的介電層
77I:中間高介電常數的介電層
77U:頂部高介電常數的介電層
79:摻質源層
93:依序交錯的介電層

Claims (1)

  1. 一種半導體裝置的形成方法,包括: 形成一閘極介電堆疊,且形成該閘極介電堆疊的步驟包括: 形成一界面層於一基板的表面之至少一部分上;以及 形成一鐵電的閘極介電層於該界面層上,且形成該鐵電的閘極介電層的步驟包括: 形成依序交錯的多個介電層,且形成依序交錯的該些介電層的步驟包括: 沉積一第一高介電常數的介電層於該界面層上,其中該第一高介電常數的介電層之至少一部分為非晶;以及 形成多對的介電層於該第一高介電常數的介電層上,且形成每一多對的介電層之步驟包括: 沉積一摻質源層;以及 沉積一第二高介電常數的介電層於該摻質源層上,其中該第二高介電常數的介電層之至少一部分為非晶;以及 在形成依序交錯的該些介電層之後,進行一第一退火以將該第一高介電常數的介電層與依序交錯的該些介電層轉換成該鐵電的閘極介電層。
TW109143132A 2020-03-20 2020-12-08 半導體裝置的形成方法 TW202145356A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/825,874 2020-03-20
US16/825,874 US11264489B2 (en) 2020-03-20 2020-03-20 Negative-capacitance and ferroelectric field-effect transistor (NCFET and FE-FET) devices

Publications (1)

Publication Number Publication Date
TW202145356A true TW202145356A (zh) 2021-12-01

Family

ID=77025317

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109143132A TW202145356A (zh) 2020-03-20 2020-12-08 半導體裝置的形成方法

Country Status (3)

Country Link
US (3) US11264489B2 (zh)
CN (1) CN113206044A (zh)
TW (1) TW202145356A (zh)

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10923501B2 (en) * 2017-02-23 2021-02-16 SK Hynix Inc. Ferroelectric memory device and method of manufacturing the same
US20190057860A1 (en) * 2017-08-18 2019-02-21 Lam Research Corporation Methods for improving performance in hafnium oxide-based ferroelectric material using plasma and/or thermal treatment
US11107919B2 (en) * 2017-08-31 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor device including ferroelectric layer having columnar-shaped crystals
US10741678B2 (en) * 2017-10-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10833150B2 (en) * 2018-07-11 2020-11-10 International Business Machines Corporation Fast recrystallization of hafnium or zirconium based oxides in insulator-metal structures
US10861862B1 (en) * 2019-06-24 2020-12-08 Wuxi Petabyte Technologies Co, Ltd. Ferroelectric memory devices

Also Published As

Publication number Publication date
CN113206044A (zh) 2021-08-03
US20220149182A1 (en) 2022-05-12
US20230369472A1 (en) 2023-11-16
US11777017B2 (en) 2023-10-03
US11264489B2 (en) 2022-03-01
US20210296469A1 (en) 2021-09-23

Similar Documents

Publication Publication Date Title
US11532750B2 (en) Semiconductor device and method of manufacture
US20240136220A1 (en) Shallow Trench Isolation Forming Method and Structures Resulting Therefrom
US20230378310A1 (en) Negative-Capacitance and Ferroelectric Field-Effect Transistor (NCFET and FE-FET) Devices
US20220231022A1 (en) Semiconductor Device and Method
US20240021617A1 (en) Semiconductor device and method
US20230343858A1 (en) Methods for increasing germanium concentration of surfaces of a silicon germanium portion of a fin and resulting semiconductor devices
US20220384593A1 (en) Inter-Layer Dielectrics and Etch Stop Layers for Transistor Source/Drain Regions
US11757020B2 (en) Semiconductor device and method
TW202145356A (zh) 半導體裝置的形成方法
US11527621B2 (en) Gate electrode deposition and structure formed thereby
US20220359729A1 (en) Semiconductor Device and Method
US20230008413A1 (en) Semiconductor device and method
TWI834902B (zh) 半導體裝置與其形成方法
US20240186190A1 (en) Semiconductor Device and Methods of Forming the Same
TW202243030A (zh) 半導體裝置