TW201817004A - 半導體裝置與其形成方法 - Google Patents

半導體裝置與其形成方法 Download PDF

Info

Publication number
TW201817004A
TW201817004A TW106126066A TW106126066A TW201817004A TW 201817004 A TW201817004 A TW 201817004A TW 106126066 A TW106126066 A TW 106126066A TW 106126066 A TW106126066 A TW 106126066A TW 201817004 A TW201817004 A TW 201817004A
Authority
TW
Taiwan
Prior art keywords
epitaxial
region
structures
semiconductor device
fin
Prior art date
Application number
TW106126066A
Other languages
English (en)
Other versions
TWI651849B (zh
Inventor
張哲誠
林志翰
曾鴻輝
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201817004A publication Critical patent/TW201817004A/zh
Application granted granted Critical
Publication of TWI651849B publication Critical patent/TWI651849B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

鰭狀場效電晶體的例示性形成方法包含:形成多個鰭狀結構於基板上,以及形成多個隔離區夾設於每一對相鄰的鰭狀結構之間。蝕刻鰭狀結構與隔離結構的較上部份。分別形成磊晶結構於鰭狀結構上,且每一磊晶結構與其相鄰的磊晶結構相接。介電層沉積於磊晶結構上,且具有孔洞區形成其中。孔洞區夾設於每一對相鄰的鰭狀結構之間。

Description

半導體裝置與其形成方法
本發明實施例關於半導體裝置的形成方法,更特別關於磊晶結構下的孔洞區。
半導體裝置已用於多種電子應用,比如個人電腦、手機、數位相機、與其他電子設備。一般而言,半導體裝置的製程為依序沉積絕緣或介電層、導電層、與半導體的材料於半導體基板上,並以微影與蝕刻製程圖案化多種材料層,以形成電路構件與單元於其上。
半導體產業持續縮小最小結構尺寸,以持續改良多種電子構件如電晶體、二極體、電阻、電容、或類似物的積體密度,使更多構件整合至單位面積中。
本發明一實施例提供之半導體裝置,包括:第一鰭狀物與第二鰭狀物,位於基板的源極/汲極區上;第一磊晶再成長區,位於第一鰭狀物上;第二磊晶再成長區,位於第二鰭狀物上,且第二磊晶再成長區接觸第一磊晶再成長區;介電層,位於第一磊晶再成長區與第二磊晶再成長區上;以及孔洞區,位於介電層中,且孔洞區位於第一磊晶再成長區的頂部上表面下以及第二磊晶再成長區的頂部上表面下。
本發明一實施例提供之半導體裝置,包括:多個鰭狀物,位於基板上;多個隔離結構,夾設於每一對鰭狀物之間;多個磊晶再成長結構,各自位於鰭狀物的一者上,其中每一磊晶再成長結構鄰接至與其相鄰的磊晶再成長結構;介電層,密封磊晶再成長結構;以及多個孔洞區,位於介電層中,且每一孔洞區橫向地夾設於每一對磊晶再成長結構之間。
本發明一實施例提供之半導體裝置的形成方法,包括:形成源極/汲極區於基板上;形成多個鰭狀結構於源極/汲極區上;形成多個隔離結構,且隔離結構橫向地夾設於每一對鰭狀結構之間;蝕刻鰭狀結構的較上部份;分別形成多個磊晶再成長區於鰭狀結構上,其中每一磊晶再成長區與相鄰的磊晶再成長區鄰接;以及沉積介電層於磊晶再成長區上,其中多個孔洞區形成於介電層中,且每一孔洞區橫向地夾設於每一對鰭狀結構之間。
A-A'、B-B'‧‧‧切線
Dc‧‧‧凹陷距離
D1‧‧‧第一距離
D2‧‧‧第二距離
D3‧‧‧第三距離
D4‧‧‧第四距離
D5‧‧‧第五距離
D6‧‧‧第六距離
D7‧‧‧第七距離
D8‧‧‧第八距離
D9‧‧‧第九距離
D10‧‧‧第十距離
D11‧‧‧第十一距離
D12‧‧‧第十二距離
D13‧‧‧第十三距離
D14‧‧‧第十四距離
H1‧‧‧第一高度
H2‧‧‧第二高度
H3‧‧‧第三高度
H4‧‧‧第四高度
H5‧‧‧第五高度
H6‧‧‧第六高度
H7‧‧‧第七高度
H8‧‧‧第八高度
H9‧‧‧第九高度
P1‧‧‧第一間距
T1‧‧‧第一厚度
W1‧‧‧第一寬度
W2‧‧‧第二寬度
W3‧‧‧第三寬度
W4‧‧‧第四寬度
W5‧‧‧第五寬度
W6‧‧‧第六寬度
W7‧‧‧第七寬度
W8‧‧‧第八寬度
100‧‧‧半導體裝置
101‧‧‧基板
103‧‧‧第一溝槽
105‧‧‧第一隔離區
107‧‧‧鰭狀物
107'、107"、107'"‧‧‧鰭狀物部份
109‧‧‧虛置閘極介電物
111‧‧‧虛置閘極
113‧‧‧第一間隔物
115‧‧‧堆疊
201‧‧‧源極/汲極區
201-6b、201-6b'、201-6b"、201-7b、201-7b'、201-7b"、201-8b、201-9b‧‧‧磊晶結構
203‧‧‧層間介電層
205‧‧‧閘極堆疊
211‧‧‧第一介電材料
213‧‧‧第一金屬材料
215‧‧‧第二金屬材料
217‧‧‧第三金屬材料
221‧‧‧蓋層
301‧‧‧硬遮罩
303‧‧‧第一蝕刻製程
305‧‧‧第一開口
401‧‧‧第一接點
403‧‧‧第一蝕刻停止層
405‧‧‧第一介電層
407‧‧‧第二開口
409‧‧‧第二光阻
411‧‧‧第二蝕刻製程
1000‧‧‧凹狀
1150、1150'、1350、1350'、1550、1550'、1650、1650'、1750、1750'、1850、1850'、2050、2050'‧‧‧孔洞區
2000‧‧‧錐狀凹陷部份
第1圖係一些實施例中,鰭狀場效電晶體裝置於形成製程中的中間結構。
第2圖係一些實施例中,形成的源極/汲極區。
第2A與2B圖係一些實施例中,第2圖所示之結構的剖視圖。
第3A至3C圖係一些實施例中,第一開口沿著切線A-A'的正視圖。
第4圖係一些實施例中,形成於第一源極/汲極接點結構中 的第二開口沿著切線A-A'的正視圖。
第5圖係一些實施例中,第二源極/汲極接點結構沿著切線A-A'的正視圖。
第6、7、與8圖係一些實施例中,磊晶的源極/汲極區沿著切線B-B'的正視圖。
第9圖係一些實施例中,第2圖所示之結構沿著切線B-B'的正視圖。
第10至18圖係一些實施例中,磊晶的源極/汲極區沿著切線B-B'的正視圖。
下述內容提供的不同實施例或實例可實施本發明的不同結構。特定構件與排列的實施例係用以簡化本發明而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明的多種例子中可重複標號以簡化與清楚說明,但不表示多種實施例及/或設置之間具有相同標號的單元具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
第1圖係半導體裝置100如鰭狀場效電晶體裝置的 透視圖。在一實施例中,半導體裝置100包含基板101,其具有形成其中的第一溝槽103。基板101可為矽基板,但亦可為其他基板如絕緣層上半導體、具有應力的絕緣層上半導體、或絕緣層上矽鍺。基板101可為p型半導體,但在其他實施例中亦可為n型半導體。
第一溝槽103的形成方法之起始步驟,可在形成第一隔離區105之後。第一溝槽103的形成方法可為搭配遮罩層(未圖示於第1圖中)的合適蝕刻製程。舉例來說,遮罩層可為硬遮罩如化學氣相沉積的氮化矽,但亦可為其他製程如電漿增強化學氣相沉積或低壓化學氣相沉積形成的其他材料如氧化物、氮氧化物、碳化矽、上述之組合、或類似物,甚至是先形成氧化物後再進行氮化。一旦形成遮罩層,可採用合適的光微影製程圖案化遮罩層以露出部份基板101,之後再移除部份的露出基板101以形成第一溝槽103。
本技術領域中具有通常知識者應理解,上述遮罩層的製程與材料,並非保護部份基板101並露出其他部份基板101(用以形成第一溝槽103)的唯一方法。任何合適製程如圖案化及顯影後的光阻,亦可用於對部份基板101進行製程以形成第一溝槽103。這些方法均屬本發明實施例的範疇。
一旦形成並圖案化遮罩層,可形成第一溝槽103於基板101中。部份露出的基板101其移除方法可為合適製程如反應性離子蝕刻,其可用以形成第一溝槽103於基板101中。不過亦可採用其他合適製程形成第一溝槽103。在一實施例中,第一溝槽103自基板101之表面向下的深度小於約5000Å,比如約 2500Å。
然而本技術領域中具有通常知識者應理解,上述形成第一溝槽103的製程僅用以舉例。任何合適製程均可替換、搭配、或接續上述製程,以形成第一溝槽103。任何合適製程均可採用,其可包含任何數目的遮罩及移除步驟。
此外,鰭狀物中的第一溝槽103(即位於共用共同閘極的相鄰鰭狀物107之間的第一溝槽103)的深度,可小於鰭狀物間的第一溝槽103(即位於共用共同閘極的相鄰鰭狀物107之外的第一溝槽103)的深度。舉例來說,用於p型場效電晶體裝置的鰭狀物107,其採用的鰭狀物間的第一溝槽103與鰭狀物中的第一溝槽103具有類似深度。用於n型場效電晶體裝置的鰭狀物107,其採用的鰭狀物間的第一溝槽103之深度小於鰭狀物中的第一溝槽103之深度。然而,可採用任何合適的組合。
除了形成第一溝槽103,遮罩與蝕刻等製程亦形成自部份的基板101凸起的鰭狀物107,其將保留於製程後。為方便說明,圖式中的鰭狀物107與基板101之間隔有虛線,但在物理上兩者可無分別。鰭狀物107可以形成多重閘極的鰭狀場效電晶體之通道區,如下述說明。雖然第1圖中僅有三個鰭狀物107自基板101形成,但可採用任何數目的鰭狀物107。
鰭狀物107在基板101之表面的寬度可介於約5nm至約80nm之間,比如約30nm。此外,鰭狀物107彼此之間相隔的距離可介於約10nm至約100nm之間,比如約50nm。藉由上述方式設置鰭狀物107,鰭狀物107可具有實質上分開的通道區,但仍近到足以共享共同閘極。
一旦形成第一溝槽103與鰭狀物107,則將介電材料填入第一溝槽103,再使第一溝槽103中的介電材料凹陷,用以形成第一隔離區105。介電材料可為氧化物材料,比如高密度電漿氧化物或類似物。在對第一溝槽103視情況進行清潔與襯墊後,形成介電材料的方法可採用化學氣相沉積(如高深寬比製程)、高密度電漿的化學氣相沉積、或本技術領域已知的其他合適製程。
介電材料可填入第一溝槽103並形成於基板101上,接著移除超出第一溝槽103與鰭狀物107的額外介電材料,且移除方法可為合適製程如化學機械研磨、蝕刻、或上述之組合、或類似製程。在一實施例中,移除製程可減少鰭狀物107上的介電材料,因此移除介電材料的步驟將露出鰭狀物107的表面以用於後續製程。
一旦將介電材料填入第一溝槽103中,接著使介電材料凹陷至遠離鰭狀物107之表面。上述凹陷化步驟可露出與鰭狀物107之上表面相鄰的鰭狀物107其至少部份的側壁。使介電材料凹陷的方法可採用電漿蝕刻,其蝕刻品可包含甲烷、四氟化碳、二氟化碳、氟仿、氧、溴化氫、氯、三氟化氮、氮、及/或氦。凹陷化製程的溫度可介於約3mTorr至約20mTorr之間,且溫度可介於約30℃至約80℃之間,不過亦可採用任何合適的溫度與壓力。然而其他移除方法亦可替換、搭配、或接續上述的凹陷化製程,且其他移除方法可為採用蝕刻品如氫氟酸或氫的濕蝕刻,採用蝕刻品如氨/三氟化氮的乾蝕刻製程、化學氧化物移除、或乾化學清潔。介電材料凹陷處與鰭狀物107 之表面之間的距離,可介於約50Å至約500Å之間,比如約400Å。此外,凹陷化製程亦可移除鰭狀物107上的殘留介電材料,以確保露出鰭狀物107以用於後續製程。
然而本技術領域中具有通常知識者應理解,上述內容僅為將介電材料填入凹陷中的整體製程流程之一部份。舉例來說,襯墊步驟、清潔步驟、回火步驟、填隙步驟、上述之組合、或類似步驟亦可用以形成第一溝槽103並將介電材料填入其中。所有這些可能的製程步驟均屬本發明實施例的範疇。
在形成第一隔離區105之後,可形成虛置閘極介電物109、可形成虛置閘極111於虛置閘極介電物109上、且可形成第一間隔物113於每一鰭狀物107上。在一實施例中,虛置閘極介電物109的形成方法可為熱氧化、化學氣相沉積、濺鍍、或用以形成閘極介電物的任何其他方法(本技術領域中現有或之後衍生的方法)。鰭狀物107之頂部上的虛置閘極介電物109的厚度,可不同於鰭狀物107之側壁上的虛置閘極介電物109的厚度,端視虛置閘極介電物的形成技術而定。
虛置閘極介電物109可包含材料如氧化矽或氮氧化矽,其厚度介於約3Å至約100Å之間(比如約10Å)。虛置閘極介電物109之組成可為高介電常數材料(比如介電常數大於約5),比如氧化鑭、氧化鋁、氧化鉿、氮氧化鉿、氧化鋯、或上述之組合,且其等效氧化物厚度介於約0.5Å至約100Å之間(比如小於或等於約10Å)。綜上所述,氧化矽、氮氧化矽、及/或高介電常數材料的任何組合亦可用於虛置閘極介電物109。
虛置閘極111可包含導電材料,比如鎢、鋁、銅、 鋁銅、鈦、氮化鈦鋁、碳化鉭、氮碳化鉭、氮矽化鉭、錳、鋯、氮化鈦、鉭、氮化鉭、鈷、鎳、上述之組合、或類似物。虛置閘極111的沉積方法可為化學氣相沉積、濺鍍沉積、或本技術領域已知用於沉積導電材料的其他技術。虛置閘極111的厚度可介於約5Å至約200Å之間。虛置閘極111的上表面可具有不平坦的表面,且可在圖案化虛置閘極111或閘極蝕刻之前,先平坦化虛置閘極111的上表面。此時可(或不可)將離子導入虛置閘極111。舉例來說,導入離子的方法可為離子佈植技術。
一旦形成虛置閘極介電物109與虛置閘極111,即可圖案化上述兩者以形成一系列的堆疊115於鰭狀物107上。堆疊115定義多個通道區於虛置閘極介電物109下的鰭狀物107之每一側壁上。堆疊115的形成方法可為沉積與圖案化閘極遮罩(未圖示於第1圖中)於虛置閘極111上,且其形成方法可為本技術領域已知的沉積與光微影技術。閘極遮罩可包含一般常用的遮罩與犧牲材料,比如但不限於氧化矽、氮氧化矽、氮氧碳化矽、及/或氮化矽,且沉積厚度可介於約5Å至約200Å之間。可蝕刻虛置閘極111與虛置閘極堆疊109以形成圖案化的堆疊115,且蝕刻方法可採用乾蝕刻製程。
一旦圖案化堆疊115,即可形成第一間隔物113。第一間隔物113可形成於堆疊115的相反兩側上。第一間隔物113的形成方法可為毯覆性的沉積間隔物層(未圖示於第1圖中)於先前形成的結構上。間隔物層可包含氮化矽、氮氧化物、碳化矽、氮氧化矽、氮碳氧化矽、碳氧化矽、氧化物、或類似物,且其形成方法可為形成這些層狀物的方法如化學氣相沉積、電 漿增強化學氣相沉積、濺鍍、或本技術領域已知的其他方法。間隔物層與第一隔離區105中的介電材料,可為具有不同蝕刻特性的不同材料,或者相同材料。接著可圖案化間隔物層,比如採用一或多道蝕刻自結構之水平表面移除間隔物層,以形成第一間隔物113。
在一實施例中,第一間隔物113具有第一厚度T1,其介於約5Å至約500Å之間。此外一旦形成第一間隔物113,則與堆疊115相鄰之第一間隔物113,以及與另一堆疊115相鄰之另一第一間隔物113之間隔有第一距離D1。然而任何合適的厚度與距離均可替換、搭配、或接續上述厚度與距離。
如第2、2A、與2B圖所示,自堆疊115與第一間隔物113未保護的區域移除鰭狀物107,並再成長源極/汲極區201。第2A圖係沿著第2圖之切線A-A'的剖視圖,而第2B圖係沿著第2圖之切線B-B'的剖視圖。自堆疊115與第一間隔物113未保護的區域移除鰭狀物107的步驟,可為採用堆疊115與第一間隔物113作為硬遮罩的反應性離子蝕刻,或者任何其他合適的移除製程。移除步驟可持續至鰭狀物107與第一隔離區105的表面實質上共平面(如圖示),或者低於第一隔離區105的表面。雖然在第2圖中,凹陷的鰭狀物部份107'、107"、與107'"的上表面與第一隔離區105的上表面實質上齊平,但應理解在其他實施例中的鰭狀物107可凹陷至低於第一隔離區105的上表面,或甚至低於第一隔離區105的下表面。
一旦移除部份的鰭狀物107,可形成並圖案化硬遮罩(未圖示)以覆蓋虛置閘極111以避免成長,並再成長源極/汲 極區201以接觸每一鰭狀物107。在一實施例中,可再成長源極/汲極區201。在一些實施例中,可再成長源極/汲極區201以形成應力體,其可施加應力至堆疊115下方的鰭狀物107其通道區。在一實施例中,鰭狀物107包含矽且鰭狀場效電晶體為p型裝置。源極/汲極區201的再成長方法可為選擇性磊晶矽,或與通道區之材料的晶格常數不同的材料如矽鍺。磊晶成長製程可採用前驅物如矽烷、二氯矽烷、鍺烷、或類似物,溫度可介於約400℃至約1000℃之間(比如約600℃),且壓力可介於約5Torr至約1000Torr之間。然而,可採用任何合適的製程參數。
在特定實施例中,的鰭狀物部份107'、107"、與107'"具有第一間距P1(約30nm),且磊晶成長歷時約20分鐘至約25分鐘之間,以得磊晶結構201-7b、201-7b'、與201-7b"等分開的磊晶結構,其彼此橫向地相接。也就是說,磊晶結構201-7b的橫向部份接觸磊晶結構201-7b'的橫向部份之一側,而磊晶結構201-7b"的橫向部份亦接觸磊晶結構201-7b'的橫向部份之另一側。然而,可採用任何合適的磊晶成長時間。
在一實施例中,源極/汲極區201可具有第一高度H1於第一隔離區105上。在此實施例中,源極/汲極區201的高度可高於第一隔離區105之上表面約5nm至約250nm之間,比如約100nm。然而,可採用任何合適高度。
一旦形成源極/汲極區,可將摻質佈植至源極/汲極區201中,且佈植方法可為佈植適當的摻質以添加摻質至鰭狀物107中。舉例來說,可佈植p型摻質如硼、鎵、銦、或類似物以形成p型金氧半裝置。在另一實施例中,可佈植n型摻質如 磷、砷、銻、或類似物以形成n型金氧半裝置。在佈植摻質時,可採用堆疊115與第一間隔物113作為遮罩。應注意的是,本技術領域中具有通常知識者應理解,許多其他製程、步驟、或類似方法可用於佈植摻質。舉例來說,本技術領域中具有通常知識者應理解採用間隔物與襯墊物的多種組合之多個佈植,可形成特定形狀或特性的源極/汲極區以適用於特定目的。任何上述製程可用於佈植摻質,且上述內容並非用於限制本發明實施例只含上述步驟。
此外,此時移除在形成源極/汲極區201時覆蓋虛置閘極111的硬遮罩。在例示性的實施例中,硬遮罩的移除方法可採用濕蝕刻製程或乾蝕刻製程,其選擇性地移除硬遮罩材料。然而,可採用任何合適的移除製程。
如第3A與3B圖所示,層間介電層203(為清楚說明,此亦圖示為第2圖的虛線)形成於堆疊115及源極/汲極區201上。層間介電層203可包含的材料包括硼磷矽酸鹽玻璃,但亦可採用任何合適的介電材料或其組合。層間介電層203的厚度可介於約100Å至約3000Å之間。一旦形成層間介電層203,則可平坦化層間介電層203,且平坦化製程可為化學機械研磨製程。然而,可採用任何合適製程。
在特定實施例中,層間介電層203的形成方法可為沉積製程,比如低壓化學氣相沉積、電漿化學氣相沉積、可流動的化學氣相沉積、物理氣相沉積、電漿增強化學氣相沉積、或類似方法,其製程溫度可介於約50℃至約300℃之間,且其製程壓力可介於約0.5torr至約760torr之間。此外,沉積製程可 歷時約100秒至約800秒之間,比如介於約500秒至約800秒之間。然而,可採用任何合適的沉積製程條件。
上述沉積參數沉積的層間介電層203,以及源極/汲極區201的形狀,造成孔洞區1150與1150'形成於磊晶結構201-7b、201-7b'、與201-7b"下方的層間介電層203之材料中。在一實施例中,孔洞區1150與1150'具有第二高度H2(介於約8nm至約15nm之間),以及第一寬度W1(介於約9nm至約10nm之間)。然而,可採用任何合適尺寸。
此外,孔洞區1150與1150'之間彼此可隔有磊晶結構201-7b、201-7b'、與201-7b",如同第一隔離區105。舉例來說,一些實施例的孔洞區1150與1150'與磊晶結構201-7b、201-7b'、與201-7b"的接觸點之間,可隔有第二距離D2(介於約5nm至約30nm之間,比如約15nm)。第二距離D2的量測方向垂直於基板101的主要表面。同樣地,孔洞區1150與1150'與第一隔離區105之間可隔有第三距離D3(介於約2nm至約20nm之間,比如約5nm)。如此一來,第二距離D2與第三距離D3之間的比例可介於約5至約15之間。然而,可採用任何合適距離與比例。
第3C圖係第3A與3B圖之結構的上視圖。如圖所示,孔洞區1150與1150'位於不同的閘極堆疊205與其第一間隔物113之間。特別的是,孔洞區1150與1150'位於第一隔離區105(未圖示於第3C圖)上的源極/汲極區201中。
如第3A圖所示,形成第一開口305穿過層間介電層203,以露出源極/汲極區201。第一開口305可用以形成第一接 點401(未圖示於第3A圖,但將圖示於第4圖並說明如下)。此外,未圖示閘極堆疊205的構件層以簡化圖式。在一實施例中,第一開口305的形成方法可先形成並圖案化硬遮罩301於源極/汲極區201上。在一實施例中,硬遮罩301可包含介電材料如氮化矽,不過亦可採用任何合適的遮罩材料。
一旦形成硬遮罩301,即圖案化硬遮罩301。在一實施例中,可沉積並曝光光阻材料於硬遮罩301上,以形成圖案化的第一光阻。能量撞擊將造成圖案化的能量源照射的部份光敏材料中的化學反應,即調整光阻其曝光部份的物理性質。如此一來,光敏材料的曝光部份之物理性質,不同於光敏材料的未曝光部份之物理性質。接著可採用顯影劑(未圖示)顯影光敏材料,以分開光敏材料的曝光部份與未曝光部份。接著可採用光敏材料的曝光部份作為遮罩,進行非等向蝕刻以圖案化硬遮罩301。
一旦圖案化硬遮罩301,即採用硬遮罩301作為遮罩以形成第一開口305。在一實施例中,第一開口305的形成方法可採用第一蝕刻製程303,其可為非等向蝕刻製程如反應性離子蝕刻製程。然而,可採用任何合適製程如濕蝕刻製程與任何合適反應物。
第一蝕刻製程303可形成第一開口305,且第一開口305可用以形成第一接點401。在特定實施例中,第一蝕刻製程303可移除源極/汲極區201的材料,直到足以露出源極/汲極區201。然而,可採用任何合適深度。此外,第一開口305與第一間隔物113之頂部相鄰處可具有寬度(自硬遮罩301量測),其 介於約10nm至約50nm之間。第一開口305之底部寬度可介於約8nm至約40nm之間。然而,可採用任何合適尺寸。
一旦形成第一開口305,即可移除硬遮罩301。在一實施例中,硬遮罩301的移除方法可為濕式或乾式蝕刻製程,其採用的蝕刻品對硬遮罩301的材料具有選擇性。然而,亦可採用任何合適的移除製程。
如第3A圖所示,在形成層間介電層203之後,可移除虛置閘極111,以將其置換為閘極堆疊205。在例示性的實施例中,虛置閘極111的移除方法可採用濕式或乾式蝕刻製程,其採用的蝕刻品對虛置閘極111的材料具有選擇性。然而,亦可採用任何合適的移除製程。
一旦移除虛置閘極111形成開口,即再填入開口以形成閘極堆疊205。在特定實施例中,閘極堆疊205包含第一介電材料211、第一金屬材料213、第二金屬材料215、與第三金屬材料217。在一實施例中,第一介電材料211為高介電常數材料如氧化鉿、氧化鉿矽、氮氧化鉿矽、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯、氧化鑭、氧化鋯、氧化鉭、上述之組合、或類似物,而其沉積製程可為原子層沉積、化學氣相沉積、或類似方法。第一介電材料211的沉積厚度可介於約5至約200之間。此外,可採用任何合適的材料、材料沉積方法、或材料厚度。
第一金屬材料213可與第一介電材料211相鄰,其組成可為金屬材料如鈦、氮化鈦鋁、碳化鉭、氮碳化鉭、氮矽化鉭、錳、鋯、氮化鈦、氮化鉭、釕、鉬、氮化鎢、上述之組合、或類似物。第一金屬材料213的沉積方法可採用原子層沉 積、化學氣相沉積、濺鍍、或類似方法,且其厚度可介於約5Å至約500Å之間。然而,可採用任何合適的沉積製程或厚度。
第二金屬材料215可形成以與第一金屬材料213相鄰。在特定實施例中,第二金屬材料215可與第一金屬材料213類似。舉例來說,第二金屬材料215之組成可為金屬材料如鈦、氮化鈦鋁、碳化鉭、氮碳化鉭、氮矽化鉭、錳、鋯、氮化鈦、氮化鉭、釕、鉬、氮化鎢、上述之組合、或類似物。此外,第二金屬材料215的沉積製程可為原子層沉積、化學氣相沉積、濺鍍、或類似製程,且其厚度可介於約5Å至約500Å之間。然而亦可採用任何合適製程或厚度。
第三金屬材料217填入移除虛置閘極111後形成的開口中。在一實施例中,第三金屬材料217為金屬材料如鎢、鋁、銅、鋁銅、鎢、鈦、氮化鈦鋁、碳化鉭、氮碳化鉭、氮矽化鉭、錳、鋯、氮化鈦、鉭、氮化鉭、鈷、鎳、上述之組合、或類似物,且其沉積製程可為原子層沉積、化學氣相沉積、濺鍍、或類似方法,以填入移除虛置閘極111後形成的開口中(或超出開口)。在特定實施例中,第三金屬材料217的沉積厚度可介於約5Å至約500Å之間。然而亦可採用任何合適材料、沉積製程、或材料厚度。
一旦移除虛置閘極111後形成的開口填入上述金屬材料後,可平坦化這些金屬材料,以移除超出開口的任何材料。在特定實施例中,上述移除步驟可採用平坦化製程如化學機械研磨。然而,亦可採用任何合適平坦化或其他移除製程。
在形成與平坦化閘極堆疊205的材料後,可使閘極 堆疊205的材料凹陷化,再形成蓋層221於其上。在一實施例中,使閘極堆疊205的材料凹陷化的方法可採用濕蝕刻或乾蝕刻製程,其蝕刻品對閘極堆疊205的材料具有選擇性。然而,亦可採用任何合適的凹陷化製程或凹陷距離。
一旦使閘極堆疊205的材料凹陷化,可沉積蓋層221,再平坦化蓋層221與間隔物113。在一實施例中,蓋層221包含材料如氮化矽、氮氧化矽、氮氧碳化矽、碳化矽、碳氧化矽、上述之組合、或類似物,且其沉積製程可為原子層沉積、化學氣相沉積、濺鍍、或類似方法。蓋層221的沉積厚度可介於約5Å至約200Å之間。後續的平坦化製程可為化學機械研磨,其可使蓋層221與第一間隔物113實質上共平面。
如第4圖所示,形成第一接點401、第一蝕刻停止層403、與第一介電層405。在形成第一接點401之前,可視情況形成矽化物接點。矽化物接點可包含鈦、鎳、鈷、或鉺,以降低接點的肖特基阻障高度。然而,亦可採用其他金屬如鉑、鈀、或類似物以用於矽化物接點。矽化物的形成方法可為毯覆性的沉積適的金屬層,接著進行回火步驟使金屬與下方露出的矽反應。接著移除未反應的金屬,且移除方法可為反應性蝕刻製程。矽化物接點的厚度可介於約5nm至約50nm之間。
在例示性的實施例中,第一接點401可包含導電材料如鈦、鎢、氮化鈦鋁、碳化鉭、氮碳化鉭、氮化鉭矽、錳、鋯、氮化鈦、氮化鉭、釕、鉬、或氮化鎢。然而,亦可採用任何合適材料如鋁、銅、上述之合金、上述之組合、或類似物。導電材料沉積至第一開口305中的方法可採用濺鍍、化學氣相 沉積、電鍍、無電電鍍、或類似方法,以填入第一蝕刻製程303形成的第一開口305(或超出第一開口305)。一旦填入第一開口305(或超出第一開口305),則可採用平坦化製程如化學機械研磨移除超出第一開口305的材料。然而,亦可採用任何合適的材料移除製程。
在例示性的實施例中,可形成第一蝕刻停止層403,其組成可為電漿增強化學氣相沉積的氮化矽,但亦可為其他技術如低壓化學氣相沉積、物理氣相沉積、或類似技術形成的其他材料如氮氧化矽、氮氧碳化矽、碳化矽、碳氧化矽、氮碳化矽、氧化矽、其他介電物、上述之組合、或類似物。第一蝕刻停止層403的厚度可介於約5Å至約500Å之間。
一旦形成第一蝕刻停止層403,即形成第一介電層405。第一介電層405之組成可為一或多種合適的介電材料,比如低介電常數介電物(如摻雜碳的氧化物)、極低介電常數介電物(比如孔洞狀之摻雜碳的氧化矽)、氧化矽、氮化矽、聚合物(如聚醯亞胺)、上述之組合、或類似物。第一介電層405的形成製程可為旋轉塗佈製程或化學氣相沉積,但亦可採用任何合適製程。
如第4圖所示,圖案化第一介電層405與第一蝕刻停止層403,以形成露出第一接點401的第二開口407。在例示性的實施例中,第一介電層405與第一蝕刻停止層403的圖案化方法可為先形成與圖案化第二光阻409於第一介電層405上。在一實施例中,第二光阻409可為三層光阻。為了圖案化第二光阻409,可經由圖案化的能量曝光第二光阻409。接著可顯影與 蝕刻第二光阻409,以圖案化第二光阻409。
一旦圖案化第二光阻409,即採用第二光阻409作為遮罩,以將第二光阻409的圖案轉移至第一介電層405與第一蝕刻停止層403。在一實施例中,第一介電層405的圖案化方法可採用第二蝕刻製程411,其可為非等向蝕刻製程、反應性離子蝕刻製程。然而,可採用任何合適製程(如濕蝕刻製程)與任何合適反應物。
第二蝕刻製程411可用以移除第一介電層405的材料,以形成第二開口407。第二開口407之後可用以形成第二接點501(未圖示於第4圖,但圖示於第5圖如下述)。在特定實施例中,第二蝕刻製程411可用以移除第一介電層405的材料,直到露出第一蝕刻停止層403。然而,可採用任何合適移除製程如濕蝕刻。
一旦露出第一蝕刻停止層403,則可將第一介電層405的圖案轉移穿過第一蝕刻停止層403,以露出第一接點401。在例示性的實施例中,轉移圖案的方法可採用非等向蝕刻製程如反應性離子蝕刻,其採用的蝕刻品對第一蝕刻停止層403的材料具有選擇性。然而,亦可採用任何合適的蝕刻品或製程,比如濕蝕刻。此外,在形成開口於第一蝕刻停止層403中並露出下方的第一接點401之後,蝕刻將停止而未延伸至第一接點401中,或者可持續稍微地過蝕刻而形成延伸至部份第一接點401中的第二開口407。
如第5圖所示,移除第二光阻409,並形成第二接點501。在一實施例中,第二光阻409的移除方法可採用灰化製 程,即加熱第二光阻409直到熱分解的溫度,以輕易移除第二光阻。然而亦可採用任何合適的移除製程如濕蝕刻。
在露出第一接點401之後,可沉積導電材料以填入第二開口407及/或超出第二開口407,以與第一接點401產生電性連接。在例示性的實施例中,第二接點501可包含導電材料如鎢,但亦可採用任何合適材料如鋁、銅、上述之合金、上述之組合、或類似物以取代鎢或搭配鎢。導電材料的沉積方法可為濺鍍、化學氣相沉積、電鍍(搭配晶種層)、無電電鍍、或類似方法,以填入第二開口407及/或超出第二開口407。一旦填入第二開口407或超出第二開口407,可採用平坦化製程如化學機械研磨移除超出第二開口407的任何沉積材料。
如第6圖所示的另一實施例,分別形成源極/汲極的磊晶結構201-6b、201-6b'、與201-6b"於鰭狀物部份107'、107"、107'"上,且磊晶製程(見搭配第2、2A、與2B圖的上述內容)的時間不足以使分開的結構合併或接觸,或者第一間距P1增加。在此實施例中,可形成磊晶結構201-6b、201-6b'、與201-6b",如第6圖所示。若磊晶製程在磊晶結構接觸之前(比如在形成第2B圖所示的結構之前)即中止,則磊晶結構將維持彼此分開的磊晶結構201-6b、201-6b'、與201-6b"。在特定實施例中,磊晶成長製程可持續一段時間(比如約15分鐘至20分鐘之間,即約20次至30次循環),以形成實質上分開的磊晶結構201-6b、201-6b'、與201-6b",且上述磊晶結構之間彼此隔有第四距離D4(介於約30nm至約100nm之間)。然而,可採用任何合適距離。
第7圖係又一實施例中,形成磊晶的源極/汲極結 構,其分開的結構不止彼此接觸(如搭配第2、2A、與2B圖的上述內容)而是合併成單一合併的磊晶結構201-8B,其包含不規則的上表面輪廓及/或下表面輪廓。上述表面輪廓對應磊晶成長的平面,其用於形成在鰭狀物部份107'、107"、107'"上的分開磊晶結構。在特定實施例中,不規則的表面輪廓的表面粗糙度介於約2nm至約10nm之間,其可由持續上述沉積製程約25分鐘至約30分鐘所達成。然而,可採用任何合適的沉積時間或表面粗糙度。
若磊晶成長製程延伸夠長的時間,比如持續至產生第7圖所示之合併的磊晶結構201-8b,其將填入第7圖中不規則的表面輪廓,以產生實質上平坦的上表面及/或下表面,如第8圖所示。此外,此處的用語「平坦」指的是局部及/或所有表面形貌差異的等級符合一般製程變異,而不必是平面或實質上平面的表面。
在特定實施例中,合併的磊晶結構201-9b的形成方法可為持續沉積製程超過約30分鐘。上述時間形成之合併的磊晶結構201-9b,其自第一隔離區105的高度介於約45nm至約60nm之間,且其寬度介於約60nm至約150nm之間。此外,合併的磊晶結構201-9b的平坦上表面,其與相接的晶面之間的角度小於30°。然而,可採用任何合適時間或尺寸。
第9至17圖所示之實施例中,可依需求調整第一隔離區105(比如淺溝槽隔離結構)的形狀。在第9至17圖所示的實施例中,第一隔離區105的上表面形狀可調整為鰭狀物部份107'、107"、與107'"之間的凹狀1000,其中相鄰鰭狀物之間的 距離為第一間距P1。在特定實施例中,蝕刻第一隔離區105與鰭狀物107以露出鰭狀物部份107'、107"、與107'"的製程之後,對第一隔離區105進行一或多道過蝕刻,以形成上述凹狀。舉例來說,上述凹陷化的製程可搭配第1圖的結構,即凹陷化步驟的製程時間之外,持續進行額外30%的製程時間。藉由過蝕刻可讓第一隔離區105具有凹狀,其凹陷距離Dc(最低點與平坦表面之間的距離)可介於約1nm至約15nm之間,比如約5nm。然而,可採用任何合適的凹陷。
如第9與10圖所示的實施例,分開的磊晶結構201-7b、201-7b'、及201-7b"位於第一隔離區105的凹狀1000上,且密封於層間介電層203中。由於磊晶成長時間及/或設置的鰭狀物間距如搭配第2、2A、與2B圖所述的內容,上述磊晶結構彼此之間至少有名義上的接觸。在特定實施例中,層間介電層203可形成如前述,其形成方法可採用沉積製程如低壓化學氣相沉積、電漿化學氣相沉積、可流動的化學氣相沉積、物理氣相沉積、電漿增強化學氣相沉積、或類似沉積方法,其製程溫度可介於約50℃至約300℃之間,且其製程壓力可介於約0.5torr至約760torr之間。此外,沉積製程可歷時約100秒至約800秒之間,比如介於約500秒至約800秒之間。沿著磊晶結構201-7b的接觸表面之上述沉積製程,可形成第10圖中的孔洞區1150與1150’,其具有第三高度H3(介於約8nm至約15nm之間)與第二寬度W2(介於約9nm至約10nm之間)。然而,可採用任何合適尺寸與製程條件。
此外,孔洞1150與1150’與磊晶結構201-7b、 201-7b'、及201-7b"相隔,亦與第一隔離區105相隔。舉例來說,一些實施例中的孔洞區1150及1150'與磊晶結構201-7b、201-7b'、及201-7b"之間的接觸點在垂直於基板101其主要表面的方向中,可隔有第五距離D5(介於約2nm至約15nm之間,比如約5nm)。同樣地,孔洞區1150及1150'與第一隔離區105之間可隔有第六距離D6(介於約1nm至約10nm之間,比如約3nm)。如此一來,第五距離D5與第六距離D6之間的比例可介於約1至約10之間(比如約5),而第六距離D6與凹陷距離Dc之間的比例可介於約1至約15之間(比如約2)。然而,可採用任何合適距離與比例。
如第11與12圖所示的實施例,凹陷的第一隔離區105與合併的磊晶結構201-8b(見搭配第7圖說明的上述內容)密封於層間介電層203中。在沉積層間介電層203時,孔洞區1350及1350'形成於層間介電層203中並位於磊晶結構201-8b下。在特定實施例中,層間介電層203可沉積如搭配第3A與3B圖說明的上述內容,且沉積時間介於約300秒至約500秒之間。沿著磊晶結構201-8b的接觸表面與第一隔離區105的凹狀1000之上述沉積製程,可形成孔洞區1350與1350’,其具有第四高度H4(介於約8nm至約15nm之間)與第三寬度W3(介於約9nm至約10nm之間)。然而,可採用任何合適尺寸與製程條件。
此外,當孔洞區1350及1350'露出磊晶結構201-8b的下表面時,孔洞區1350及1350'亦與第一隔離區105相隔。舉例來說,一些實施例中的孔洞區1350及1350'與第一隔離區105可隔有第七距離D7(介於約1nm至約10nm之間,比如約3nm)。 如此一來,第四高度H4與第七距離D7之間的比例可介於約5至約20之間(比如約15),而第七距離D7與凹陷距離Dc之間的比例可介於約1.6至約20之間(比如約5)。然而,可採用任何合適距離與比例。
如第13與14圖所示的實施例,第一隔離區105的凹狀1000搭配合併的磊晶結構201-9b時,合併的磊晶結構201-9b(見搭配第8圖說明的上述內容)平坦且密封於層間介電層203中。在此實施例中,層間介電層203的沉積製程可持續如前述,且歷時約100秒至約300秒之間。然而,可採用任何合適沉積時間。
在採用上述沉積製程與時間時,孔洞區1550及1550'形成於層間介電層203的材料中並位於磊晶結構201-9b下。在特定實施例中,第一隔離區105的凹區1000與合併的磊晶結構201-9b的平坦形狀,將形成露出合併的磊晶區201-9b下側的孔洞區1550與1550'。孔洞區1550與1550'可具有第四寬度W4(小於5nm)以及第五高度H5(小於約10nm)。然而,可採用任何合適尺寸。
此外,當孔洞區1550及1550'露出磊晶結構201-9b的下表面時,孔洞區1550及1550'亦與第一隔離區105相隔。舉例來說,一些實施例中的孔洞區1550及1550'與第一隔離區105可隔有第八距離D8(介於約1nm至約10nm之間,比如約4nm)。如此一來,第五高度H5與第八距離D8之間的比例可介於約0.5至約2之間(比如約1),而第八距離D8與凹陷距離Dc之間的比例可介於約0.2至約2之間(比如約0.8)。然而,可採用任何合適距 離與比例。
第15圖所示的實施例中,沉積層間介電層203的時間延伸或調整到在分開的磊晶結構201-7b、201-7b'、及201-7b"(見搭配第10圖說明的上述內容)下形成較小的孔洞區1650及1650'。在特定實施例中,沉積時間可延長至大於第10圖所述的沉積時間,但仍介於約100秒至約800秒之間。上述沉積時間形成的孔洞區1650及1650’具有第六高度H6(介於約2nm至8nm之間)與第五寬度W5(介於約3nm至約5nm之間)。然而,可採用任何合適的沉積時間與尺寸。
此外,孔洞區1650及1650'可與磊晶結構201-7b、201-7b'、及201-7b"相隔,亦與第一隔離區105相隔。舉例來說,一些實施例中的孔洞區1650及1650'與磊晶結構201-7b、201-7b'、及201-7b"之間的接觸點在垂直於基板101其主要表面的方向中,可隔有第九距離D9(介於約10nm至約50nm之間,比如約25nm)。同樣地,孔洞區1650及1650'與第一隔離區105之間可隔有第十距離D10(介於約1nm至約10nm之間,比如約3nm)。如此一來,第九距離D9與第十距離D10之間的比例可介於約10至約50之間,而第十距離D10與凹陷距離Dc之間的比例可介於約0.5至約5之間(比如約1)。然而,可採用任何合適距離與比例。
第16圖所示的實施例中,沉積層間介電層203的時間延伸或調整到在合併的磊晶結構201-8b(見搭配第12圖說明的上述內容)下形成較小的孔洞區1750及1750'。在特定實施例中,沉積時間可延長至大於第12圖所述的沉積時間,但仍介於 約100秒至約800秒之間。上述沉積時間形成的孔洞區1750及1750’具有第七高度H7(小於約10nm)與第六寬度W6(小於約5nm)。然而,可採用任何合適的沉積時間與尺寸。
此外,孔洞區1750及1750'可與合併的磊晶結構201-8b相隔,亦與第一隔離區105相隔。舉例來說,一些實施例中的孔洞區1750及1750'與合併的磊晶結構201-8b在垂直於基板101的主要表面之方向中,可隔有第十一距離D11(介於約1nm至約20nm之間,比如約5nm)。同樣地,孔洞區1750及1750'與第一隔離區105之間可隔有第十二距離D12(介於約1nm至約10nm之間,比如約3nm)。如此一來,第十一距離D11與第十二距離D12之間的比例可介於約1至約20之間(比如約1.6),而第十二距離D12與凹陷距離Dc之間的比例可介於約0.5至約3之間(比如約1)。然而,可採用任何合適距離與比例。
第17圖所示的實施例中,層間介電層203的沉積時間可延續或調整到在合併的磊晶結構201-9b(見搭配第14圖說明的上述內容)下形成較小的孔洞區1850及1850'。在特定實施例中,沉積時間可延長至大於第14圖所述的沉積時間,但仍介於約100秒至約800秒之間。上述沉積時間形成的孔洞區1850及1850’具有第八高度H8(小於約8nm)與第七寬度W7(小於約3nm)。然而,可採用任何合適的沉積時間與尺寸。
此外,當孔洞區1850及1850'露出磊晶結構201-9b的下表面時,孔洞區1850及1850'亦與第一隔離區105相隔。舉例來說,一些實施例中的孔洞區1850及1850'與第一隔離區105可隔有第十三距離D13(介於約1nm至約10nm之間,比如約 5nm)。如此一來,第八高度H8與第十三距離D13之間的比例可介於約1至約10之間(比如約3),而第十三距離D13與凹陷距離Dc之間的比例可介於約1至約10之間(比如約1.8)。然而,可採用任何合適距離與比例。
如第18圖所示的實施例,層間介電層的孔洞區2050與2050'形成於錐狀凹陷部份2000上。在例示性的實施例中,為了達到錐狀凹陷部份2000,可在第一主要蝕刻步驟中形成第一隔離區105。在一實施例中,第一主要蝕刻步驟為上述形成第一隔離區105的凹陷化步驟。舉例來說,主要蝕科步驟中的電漿採用一或多種蝕刻品如甲烷、四氟化碳、二氟甲烷、氟仿、氧、溴化氫、氯、三氟化氮、氮、及/或氦,其可用以蝕刻介電材料並形成第一隔離區。主要蝕刻步驟的壓力可介於約3mTorr至約20mTorr之間,且溫度可介於約30℃至約80℃之間。然而,可採用任何合適溫度與壓力。
一旦形成第一隔離區105,可遮罩外側的第一隔離區105(不位於相鄰的鰭狀物107之間),並對內側的第一隔離區(位於相鄰的鰭狀物107之間)進行一系列的過蝕刻,以形成錐狀凹陷部份2000。在一實施例中,第一過蝕刻可為主要蝕刻的簡單延續,其可在形成第一隔離區105的主要蝕刻其一般終點後進行一段時間。在特定實施例中,第一過蝕刻可在主要蝕刻之後,再進行約30%至約80%之間的主要蝕刻時間。然而,可採用任何合適的蝕刻時間。
第二過蝕刻可用以形成錐狀凹陷部份2000其最後的錐形形狀,且可用以蝕刻第一隔離區105的第二過蝕刻採用 的電漿包含氟化甲烷、甲烷、四氟化碳、二氟甲烷、氟仿、氧、溴化氫、氯、三氟化氮、氮、六氟化硫、及/或氦。第二過蝕刻步驟的壓力可介於約5mTorr至約10mTorr之間,且溫度可介於約30℃至約60℃之間。然而,可採用任何合適溫度與壓力。
一旦形成第一隔離區105,可持續上述製程以形成層間介電層203。然而藉由形成錐狀凹陷部份,孔洞區2050及2050'與磊晶結構201-7b、201-7b'、及201-7b"相隔,且亦與第一隔離區105相隔。舉例來說,一些實施例中的孔洞區2050及2050'與磊晶結構201-7b、201-7b'、及201-7b"在垂直於基板101之主要表面的方向中,可隔有第十四距離D14(介於約5nm至約25nm之間,比如約40nm)。同樣地,孔洞區2050及2050'與第一隔離區105之間隔有第十五距離D15(介於約1nm至約5nm之間,比如約2nm)。如此一來,第十四距離D14與第十五距離D15之間的比例可介於約1至8之間(比如約2),而第十五距離D15與凹陷距離Dc之間的比例可介於約1至約20之間(比如約2)。此外,孔洞區2050及2050'可具有第九高度H9,其介於約5nm至約15nm之間(如約10nm)。孔洞區2050及2050'亦可具有第八寬度W8,其介於約1nm至約10nm之間(如約3nm)。然而,可採用任何合適距離與比例。
藉由上述製程與結構,可穩定源極/汲極區的磊晶輪廓。如此一來,可最小開啟電流-關閉電流的劣化與汲極誘導的阻障降低,進而改善裝置的一致性並改良晶圓接受度測試的結果。
在一實施例中,例示性的半導體裝置包括:第一 鰭狀物與第二鰭狀物,位於基板的源極/汲極區上;第一磊晶再成長區,位於第一鰭狀物上;第二磊晶再成長區,位於第二鰭狀物上,且第二磊晶再成長區接觸第一磊晶再成長區;介電層,位於第一磊晶再成長區與第二磊晶再成長區上;以及孔洞區,位於介電層中,且孔洞區位於第一磊晶再成長區的頂部上表面下以及第二磊晶再成長區的頂部上表面下。孔洞區可位於第一磊晶再成長區及第二磊晶再成長區接觸的部份下。第一磊晶再成長區及第二磊晶再成長區接觸的部份可包括孔洞區的邊界。第一磊晶再成長區及第二磊晶再成長區接觸的部份可鄰接孔洞區。例示性的半導體裝置亦可包含隔離區,其夾設於第一鰭狀物與第二鰭狀物之間。隔離區的上表面可為實質上平坦、凹陷、或v形。介電層可密封第一磊晶再成長區與第二磊晶再成長區。
在另一實施例中,例示性的半導體裝置包括:多個鰭狀物,位於基板上;多個隔離結構,夾設於每一對鰭狀物之間;多個磊晶再成長結構,各自位於鰭狀物的一者上,其中每一磊晶再成長結構鄰接至與其相鄰的磊晶再成長結構;介電層,密封磊晶再成長結構;以及多個孔洞區,位於介電層中,且每一孔洞區橫向地夾設於每一對磊晶再成長結構之間。每一孔洞區可位於每一對相鄰的磊晶再成長結構接觸的部份下。每一對相鄰的磊晶再成長結構的接觸部份,可包括每一孔洞區的邊界。每一對相鄰的磊晶再成長結構的接觸部份可鄰接每一孔洞區。例示性的半導體裝置可更包括多個隔離區夾設於鰭狀物之間。隔離區的上表面可為實質上平坦、凹陷、或v型。介電 層可密封磊晶再成長結構。
在又一實施例中,例示性之半導體裝置的形成方法包括:形成源極/汲極區於基板上;形成多個鰭狀結構於源極/汲極區上;形成多個隔離結構,且隔離結構橫向地夾設於每一對鰭狀結構之間;蝕刻鰭狀結構的較上部份;分別形成多個磊晶再成長區於鰭狀結構上,其中每一磊晶再成長區與相鄰的磊晶再成長區鄰接;以及沉積介電層於磊晶再成長區上,其中多個孔洞區形成於介電層中,且每一孔洞區橫向地夾設於每一對鰭狀結構之間。蝕刻鰭狀結構的較上部份之步驟,可更包含蝕刻隔離結構。孔洞區可位於相鄰的磊晶再成長區鄰接的接觸部份下。相鄰的磊晶再成長區鄰接的接觸部份,可形成每一孔洞區的邊界。相鄰的磊晶再成長區鄰接的接觸部份,可鄰接每一孔洞區。例示性的方法可更包括形成多個隔離區,其夾設於每一對鰭狀結構之間,其中隔離區的上表面可為實質上平坦、凹陷、或v形。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。

Claims (20)

  1. 一種半導體裝置,包括:一第一鰭狀物與一第二鰭狀物,位於一基板的一源極/汲極區上;一第一磊晶再成長區,位於該第一鰭狀物上;一第二磊晶再成長區,位於該第二鰭狀物上,且該第二磊晶再成長區接觸該第一磊晶再成長區;一介電層,位於該第一磊晶再成長區與該第二磊晶再成長區上;以及一孔洞區,位於該介電層中,且該孔洞區位於該第一磊晶再成長區的頂部上表面下以及該第二磊晶再成長區的頂部上表面下。
  2. 如申請專利範圍第1項所述之半導體裝置,其中該孔洞區位於該第一磊晶再成長區及該第二磊晶再成長區接觸的部份下。
  3. 如申請專利範圍第2項所述之半導體裝置,其中該第一磊晶再成長區及該第二磊晶再成長區接觸的部份包括該孔洞區的邊界。
  4. 如申請專利範圍第2項所述之半導體裝置,其中該第一磊晶再成長區及該第二磊晶再成長區接觸的部份鄰接該孔洞區。
  5. 如申請專利範圍第1項所述之半導體裝置,更包括一隔離區夾設於該第一鰭狀物與該第二鰭狀物之間。
  6. 如申請專利範圍第5項所述之半導體裝置,其中該隔離區的 上表面為實質上平坦、凹陷、或v形。
  7. 如申請專利範圍第1項所述之半導體裝置,其中該介電層密封該第一磊晶再成長區與該第二磊晶再成長區。
  8. 一種半導體裝置,包括:多個鰭狀物,位於一基板上;多個隔離結構,夾設於每一對該些鰭狀物之間;多個磊晶再成長結構,各自位於該些鰭狀物的一者上,其中每一該些磊晶再成長結構鄰接至與其相鄰的該些磊晶再成長結構;一介電層,密封該些磊晶再成長結構;以及多個孔洞區,位於該介電層中,且每一該些孔洞區橫向地夾設於每一對該些磊晶再成長結構之間。
  9. 如申請專利範圍第8項所述之半導體裝置,其中每一該些孔洞區位於每一對相鄰的該些磊晶再成長結構接觸的部份下。
  10. 如申請專利範圍第9項所述之半導體裝置,其中每一對相鄰的該些磊晶再成長結構的接觸部份,包括每一該些孔洞區的邊界。
  11. 如申請專利範圍第9項所述之半導體裝置,其中每一對相鄰的該些磊晶再成長結構的接觸部份鄰接每一該些孔洞區。
  12. 如申請專利範圍第8項所述之半導體裝置,更包括多個隔離區夾設於該些鰭狀物之間。
  13. 如申請專利範圍第12項所述之半導體裝置,其中該些隔離區的上表面為實質上平坦、凹陷、或v型。
  14. 如申請專利範圍第8項所述之半導體裝置,其中該介電層密封該些磊晶再成長結構。
  15. 一種半導體裝置的形成方法,包括:形成一源極/汲極區於一基板上;形成多個鰭狀結構於該源極/汲極區上;形成多個隔離結構,且該些隔離結構橫向地夾設於每一對該些鰭狀結構之間;蝕刻該些鰭狀結構的較上部份;分別形成多個磊晶再成長區於該些鰭狀結構上,其中每一該些磊晶再成長區與相鄰的該些磊晶再成長區鄰接;以及沉積一介電層於該些磊晶再成長區上,其中多個孔洞區形成於該介電層中,且每一該些孔洞區橫向地夾設於每一對該些鰭狀結構之間。
  16. 如申請專利範圍第15項所述之半導體裝置的形成方法,其中蝕刻該些鰭狀結構的較上部份之步驟,更包含蝕刻該些隔離結構。
  17. 如申請專利範圍第15項所述之半導體裝置的形成方法,其中該些孔洞區位於相鄰的該些磊晶再成長區鄰接的接觸部份下。
  18. 如申請專利範圍第17項所述之半導體裝置的形成方法,其中相鄰的該些磊晶再成長區鄰接的接觸部份,形成每一該些孔洞區的邊界。
  19. 如申請專利範圍第17項所述之半導體裝置的形成方法,其中相鄰的該些磊晶再成長區鄰接的接觸部份,鄰接每一該 些孔洞區。
  20. 如申請專利範圍第15項所述之半導體裝置的形成方法,更包括形成多個隔離區,其夾設於每一對該些鰭狀結構之間,其中該些隔離區的上表面為實質上平坦、凹陷、或v形。
TW106126066A 2016-08-03 2017-08-02 半導體裝置與其形成方法 TWI651849B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662370584P 2016-08-03 2016-08-03
US62/370,584 2016-08-03
US201662405787P 2016-10-07 2016-10-07
US62/405,787 2016-10-07
US15/623,066 2017-06-14
US15/623,066 US10157918B2 (en) 2016-08-03 2017-06-14 Semiconductor device and method

Publications (2)

Publication Number Publication Date
TW201817004A true TW201817004A (zh) 2018-05-01
TWI651849B TWI651849B (zh) 2019-02-21

Family

ID=61069391

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106126066A TWI651849B (zh) 2016-08-03 2017-08-02 半導體裝置與其形成方法

Country Status (3)

Country Link
US (4) US10157918B2 (zh)
CN (1) CN107689376B (zh)
TW (1) TWI651849B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI740465B (zh) * 2019-07-23 2021-09-21 國立清華大學 具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102414182B1 (ko) * 2017-06-29 2022-06-28 삼성전자주식회사 반도체 소자
KR102381197B1 (ko) * 2017-12-08 2022-04-01 삼성전자주식회사 반도체 소자
KR102451417B1 (ko) * 2018-04-26 2022-10-06 삼성전자주식회사 반도체 장치
KR102472070B1 (ko) 2018-06-12 2022-11-30 삼성전자주식회사 반도체 소자
US10867842B2 (en) * 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for shrinking openings in forming integrated circuits
US10692775B2 (en) * 2018-11-09 2020-06-23 Applied Materials, Inc. Fin damage reduction during punch through implantation of FinFET device
US10686033B2 (en) 2018-11-09 2020-06-16 Applied Materials, Inc. Fin damage reduction during punch through implantation of FinFET device
US11476196B2 (en) * 2018-11-27 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with multi-layer dielectric
JP7232081B2 (ja) * 2019-03-01 2023-03-02 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US11069784B2 (en) * 2019-05-17 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11854688B2 (en) * 2020-02-19 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8377779B1 (en) * 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US9159831B2 (en) 2012-10-29 2015-10-13 United Microelectronics Corp. Multigate field effect transistor and process thereof
US8921191B2 (en) 2013-02-05 2014-12-30 GlobalFoundries, Inc. Integrated circuits including FINFET devices with lower contact resistance and reduced parasitic capacitance and methods for fabricating the same
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
KR102068980B1 (ko) * 2013-08-01 2020-01-22 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
KR102432268B1 (ko) * 2015-04-14 2022-08-12 삼성전자주식회사 반도체 소자 및 그 제조 방법.
US9570555B1 (en) * 2015-10-29 2017-02-14 International Business Machines Corporation Source and drain epitaxial semiconductor material integration for high voltage semiconductor devices
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
KR102480447B1 (ko) * 2015-11-20 2022-12-22 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9865504B2 (en) * 2016-03-04 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI740465B (zh) * 2019-07-23 2021-09-21 國立清華大學 具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置

Also Published As

Publication number Publication date
CN107689376A (zh) 2018-02-13
US20200126981A1 (en) 2020-04-23
US20210217750A1 (en) 2021-07-15
US11670635B2 (en) 2023-06-06
CN107689376B (zh) 2021-09-03
TWI651849B (zh) 2019-02-21
US20190123048A1 (en) 2019-04-25
US10157918B2 (en) 2018-12-18
US10943901B2 (en) 2021-03-09
US20180040615A1 (en) 2018-02-08
US10515960B2 (en) 2019-12-24

Similar Documents

Publication Publication Date Title
TWI651849B (zh) 半導體裝置與其形成方法
TWI631612B (zh) 半導體裝置及其製造方法
TWI828806B (zh) 半導體裝置與其形成方法
TWI503863B (zh) 包含緊密間距接點的半導體結構及其形成方法
TW201820540A (zh) 鰭狀場效電晶體的形成方法
TWI827712B (zh) 半導體裝置與其形成方法
TWI792170B (zh) 半導體裝置與其形成方法
TW201916257A (zh) 半導體裝置與其形成方法
TW202011518A (zh) 半導體裝置的形成方法
TW201839994A (zh) 半導體裝置與其形成方法
TW202008433A (zh) 半導體裝置的形成方法
TWI828962B (zh) 半導體裝置及其形成方法
TW202117855A (zh) 半導體裝置的形成方法
TW201839816A (zh) 半導體裝置的形成方法
TW202011487A (zh) 半導體裝置的形成方法
TW202129765A (zh) 半導體裝置的形成方法
TW202232755A (zh) 半導體裝置及其形成方法
TW202145319A (zh) 半導體裝置與其形成方法
US11967622B2 (en) Inter block for recessed contacts and methods forming same
TW202316493A (zh) 半導體裝置和其製造方法
TW202234477A (zh) 半導體裝置的形成方法
CN115064491A (zh) 半导体装置结构
CN114334958A (zh) 半导体结构与其形成方法
TW202109632A (zh) 半導體裝置的形成方法
TWI834830B (zh) 半導體裝置與其形成方法