CN108475226B - 感测电路上的错误码计算 - Google Patents

感测电路上的错误码计算 Download PDF

Info

Publication number
CN108475226B
CN108475226B CN201780005826.9A CN201780005826A CN108475226B CN 108475226 B CN108475226 B CN 108475226B CN 201780005826 A CN201780005826 A CN 201780005826A CN 108475226 B CN108475226 B CN 108475226B
Authority
CN
China
Prior art keywords
error code
data
memory
error
array
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780005826.9A
Other languages
English (en)
Other versions
CN108475226A (zh
Inventor
P·V·莱亚
T·P·芬克拜纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to CN202111050453.1A priority Critical patent/CN113674780B/zh
Publication of CN108475226A publication Critical patent/CN108475226A/zh
Application granted granted Critical
Publication of CN108475226B publication Critical patent/CN108475226B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/08Error detection or correction by redundancy in data representation, e.g. by using checking codes
    • G06F11/10Adding special bits or symbols to the coded information, e.g. parity check, casting out 9's or 11's
    • G06F11/1004Adding special bits or symbols to the coded information, e.g. parity check, casting out 9's or 11's to protect a block of data words, e.g. CRC or checksum
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/08Error detection or correction by redundancy in data representation, e.g. by using checking codes
    • G06F11/10Adding special bits or symbols to the coded information, e.g. parity check, casting out 9's or 11's
    • G06F11/1008Adding special bits or symbols to the coded information, e.g. parity check, casting out 9's or 11's in individual solid state devices
    • G06F11/1068Adding special bits or symbols to the coded information, e.g. parity check, casting out 9's or 11's in individual solid state devices in sector programmable memories, e.g. flash disk
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/4074Power supply or voltage generation circuits, e.g. bias voltage generators, substrate voltage generators, back-up power, power control circuits
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/08Error detection or correction by redundancy in data representation, e.g. by using checking codes
    • G06F11/10Adding special bits or symbols to the coded information, e.g. parity check, casting out 9's or 11's
    • G06F11/1008Adding special bits or symbols to the coded information, e.g. parity check, casting out 9's or 11's in individual solid state devices
    • G06F11/1048Adding special bits or symbols to the coded information, e.g. parity check, casting out 9's or 11's in individual solid state devices using arrangements adapted for a specific error detection or correction feature
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0602Interfaces specially adapted for storage systems specifically adapted to achieve a particular effect
    • G06F3/0614Improving the reliability of storage systems
    • G06F3/0619Improving the reliability of storage systems in relation to data integrity, e.g. data losses, bit errors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0628Interfaces specially adapted for storage systems making use of a particular technique
    • G06F3/0638Organizing or formatting or addressing of data
    • G06F3/064Management of blocks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0668Interfaces specially adapted for storage systems adopting a particular infrastructure
    • G06F3/0671In-line storage system
    • G06F3/0673Single storage device
    • G06F3/0679Non-volatile semiconductor memory device, e.g. flash memory, one time programmable memory [OTP]
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/408Address circuits
    • G11C11/4082Address Buffers; level conversion circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/408Address circuits
    • G11C11/4085Word line control circuits, e.g. word line drivers, - boosters, - pull-up, - pull-down, - precharge
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/409Read-write [R-W] circuits 
    • G11C11/4091Sense or sense/refresh amplifiers, or associated sense circuitry, e.g. for coupled bit-line precharging, equalising or isolating
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/409Read-write [R-W] circuits 
    • G11C11/4096Input/output [I/O] data management or control circuits, e.g. reading or writing circuits, I/O drivers or bit-line switches 
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/52Protection of memory contents; Detection of errors in memory contents
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/06Sense amplifiers; Associated circuits, e.g. timing or triggering circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/06Sense amplifiers; Associated circuits, e.g. timing or triggering circuits
    • G11C7/062Differential amplifiers of non-latching type, e.g. comparators, long-tailed pairs
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1006Data managing, e.g. manipulating data before writing or reading out, data bus switches or control circuits therefor
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1048Data bus control circuits, e.g. precharging, presetting, equalising
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1051Data output circuits, e.g. read-out amplifiers, data output buffers, data output registers, data output level conversion circuits
    • G11C7/106Data output latches
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1078Data input circuits, e.g. write amplifiers, data input buffers, data input registers, data input level conversion circuits
    • G11C7/1087Data input latches
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/12Bit line control circuits, e.g. drivers, boosters, pull-up circuits, pull-down circuits, precharging circuits, equalising circuits, for bit lines
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03MCODING; DECODING; CODE CONVERSION IN GENERAL
    • H03M13/00Coding, decoding or code conversion, for error detection or error correction; Coding theory basic assumptions; Coding bounds; Error probability evaluation methods; Channel models; Simulation or testing of codes
    • H03M13/03Error detection or forward error correction by redundancy in data representation, i.e. code words containing more digits than the source words
    • H03M13/05Error detection or forward error correction by redundancy in data representation, i.e. code words containing more digits than the source words using block codes, i.e. a predetermined number of check bits joined to a predetermined number of information bits
    • H03M13/09Error detection only, e.g. using cyclic redundancy check [CRC] codes or single parity bit
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/46Test trigger logic

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Quality & Reliability (AREA)
  • Human Computer Interaction (AREA)
  • Computer Security & Cryptography (AREA)
  • Probability & Statistics with Applications (AREA)
  • Databases & Information Systems (AREA)
  • Dram (AREA)
  • Techniques For Improving Reliability Of Storages (AREA)

Abstract

本发明的实例提供用于错误码计算的设备及方法。所述设备可包含耦合到感测线的存储器单元阵列。所述设备可包含控制器,所述控制器经配置以控制耦合到所述感测线的感测电路,以在不经由输入/输出I/O线传送数据的情况下执行若干运算。所述感测电路可经控制以计算存储在所述存储器单元阵列中的数据的错误码且比较所述错误码与所述数据的初始错误码以确定所述数据是否已被修改。

Description

感测电路上的错误码计算
技术领域
本发明大体上涉及半导体存储器设备及方法,且更特定来说,涉及与在感测电路上计算错误码相关的设备及方法。
背景技术
存储器装置通常提供为计算机或其它电子系统中的内部半导体集成电路。存在许多不同类型的存储器,包含易失性存储器及非易失性存储器。易失性存储器可需要电力来维持其数据(例如,主机数据、错误数据等)且尤其包含随机存取存储器(RAM)、动态随机存取存储器(DRAM)、静态随机存取存储器(SRAM)、同步动态随机存取存储器(SDRAM)及晶闸管随机存取存储器(TRAM)。非易失性存储器可通过在未被供电时保持存储数据而提供永久性数据,且可尤其包含NAND快闪存储器、NOR快闪存储器及电阻可变存储器,例如相变随机存取存储器(PCRAM)、电阻性随机存取存储器(RRAM)及磁阻性随机存取存储器(MRAM),例如自旋力矩转移随机存取存储器(STT RAM)。
电子系统通常包含可检索及执行指令且将所执行指令的结果存储到适合位置的若干处理资源(例如,一或多个处理器)。处理器可包括例如可执行指令以对数据(例如,一或多个操作数)执行逻辑运算(例如“与”、“或”、“非”、“与非”、“或非”及“异或”逻辑运算)的若干功能单元(例如,在本文中称为功能单元电路),例如算术逻辑单元(ALU)电路、浮点单元(FPU)电路及/或组合逻辑块。
电子系统中的若干组件可涉及将指令提供到功能单元电路以供执行。指令可例如由处理资源(例如控制器及/或主机处理器)生成。可将数据(例如,将对其执行指令以执行逻辑运算的操作数)存储在可由功能单元电路存取的存储器阵列中。指令及/或数据可从存储器阵列检索,且在功能单元电路开始对数据执行指令之前排序及/或缓冲。此外,因为可通过功能单元电路以一或多个时钟周期执行不同类型的运算,所以还可排序及/或缓冲运算及/或数据的中间结果。
在许多实例中,处理资源(例如,处理器及/或相关联功能单元电路)可位于存储器阵列外部,且可(例如,经由处理资源与存储器阵列之间的总线)存取数据以执行指令。数据可经由总线从存储器阵列移动到存储器阵列外部的寄存器。
处理资源可用于安全目的。即,处理资源可用以确定装置是否已成为安全漏洞的目标。
附图说明
图1A是根据本发明的若干实施例的呈包含存储器装置的运算系统的形式的设备的框图。
图1B是根据本发明的若干实施例的呈包含存储器装置的运算系统的形式的设备的框图。
图2是根据本发明的若干实施例的存储器装置的库的框图。
图3是说明根据本发明的若干实施例的到存储器装置的感测电路的示意图。
图4是说明根据本发明的若干实施例的由图3中所展示的感测电路实施的可选择逻辑运算结果的逻辑表。
图5到8说明根据本发明的存储器装置与主机之间的经由通道控制器的高速接口的若干实施例。
具体实施方式
本发明包含与在感测电路上计算错误码相关的设备及方法。在若干实施例中,一种设备包括耦合到感测线且存储数据的存储器单元阵列。所述设备还包括感测电路,所述感测电路经耦合到感测线且经配置以执行指令以计算数据的错误码且比较所述错误码与所述数据的初始错误码以确定所述数据是否已被修改。
如本文中所使用,错误码是用以确定存储在阵列中的数据是否已在给定时间段内被修改的码。确定存储在阵列中的数据是否已被修改可包含比较对应于存储在阵列中的相同数据的两个不同错误码。例如,可在第一时间计算第一错误码且可在第二时间计算第二错误码。比较第一错误码与第二错误码可包含确定数据在开始于第一时间及终止于第二时间的时间段期间是否已被修改。可从数据计算错误码,如下文将描述。
可经由并入到存储器阵列的感测电路中的多个处理资源计算第一错误码及/或第二错误码。例如,一些存储器阵列可经耦合到包括多个感测组件的感测电路,每一感测组件对应于阵列的相应多个感测线中的一者且用作相应多个处理资源(例如,多个1位处理器)中的一者。在各种实例中,多个1位处理器可对存储在存储器阵列中的数据并行运算。可存储数据使得将特定数据元素(例如,字)的数据单元(例如,位)中的每一者存储在对应于特定处理资源的存储器空间中的连续地址处。以此方式,在包括16K列的阵列中,16K垂直存储数据元素可由对应的16K 1位处理器并行处理。
本发明的实施例可尤其提供例如与先前方法相比以更有效方式及/或使用更少电路来产生错误码且确定数据是否已变化的益处。在本发明的下文详细描述中,参考形成本发明的部分且其中通过说明而展示可如何实践本发明的一或多个实施例的附图。足够详细地描述这些实施例以使所属领域的一般技术人员能够实践本发明的实施例,且应理解,可利用可在不脱离本发明的范围的情况下作出过程变化、电变化及/或结构变化的其它实施例。如本文中所使用,标示符“N”、“X”、“Y”等(尤其相对于附图中的参考数字)指示可包含如此标示的若干特定特征。如本文中所使用,“若干”特定事物可指此些事物中的一或多者(例如,若干存储器阵列可指一或多个存储器阵列)。
本文中的图遵循编号惯例,其中首位数字对应于附图图号且其余数字识别附图中的元件或组件。可通过使用类似数字而识别不同图之间的类似元件或组件。例如,130可指图1A中的元件“30”,且类似元件在图2中可指230。如将明白,可添加、交换及/或剔除本文中的各种实施例中所展示的元件以便提供本发明的若干额外实施例。另外,如将明白,图中所提供的元件的比例及相对尺度意图说明本发明的某些实施例,且不应被视为限制性意义。
图1A是根据本发明的若干实施例的呈包含存储器装置120的运算系统100的形式的设备的框图。如本文中所使用,存储器装置120、存储器控制器140、存储器阵列130、感测电路150及逻辑电路170还可被单独视为“设备”。
系统100包含主机110,主机110经耦合(例如,经连接)到包含存储器阵列130的存储器装置120。主机110可为主机系统,例如个人膝上型计算机、台式计算机、数码相机、智能电话或存储卡读取器,以及各种其它类型的主机。主机110可包含系统主板及/或背板且可包含若干处理资源(例如,一或多个处理器、微处理器或某种其它类型的控制电路)。系统100可包含单独集成电路,或主机110及存储器装置120两者可位于相同集成电路上。系统100可为例如服务器系统及/或高性能运算(HPC)系统及/或其的部分。尽管图1A及1B中所展示的实例说明具有冯·诺依曼(Von Neumann)架构的系统,但本发明的实施例可呈非冯·诺依曼架构实施,其可不包含常与冯·诺依曼架构相关联的一或多个组件(例如,CPU、ALU等)。
为清楚起见,已简化系统100以关注与本发明具有特定相关性的特征。存储器阵列130可为例如DRAM阵列、SRAM阵列、STT RAM阵列、PCRAM阵列、TRAM阵列、RRAM阵列、NAND快闪阵列及/或NOR快闪阵列。阵列130可包括布置成由存取线(本文中可称为字线或选择线)耦合的行及由感测线(本文中可称为数据线或数字线)耦合的列的存储器单元。尽管图1中展示单个阵列130,但实施例不限于此。例如,存储器装置120可包含若干阵列130(例如,若干DRAM单元库、NAND快闪单元库等)。
存储器装置120包含用以锁存经由总线156(例如,I/O总线)通过I/O电路144提供的地址信号的地址电路142。状态及/或例外信息可通过包含带外(OOB)总线的高速接口(HSI)从存储器装置120上的控制器140提供到通道控制器,其又可从通道控制器提供到主机110。控制器140可包含用于存储数据的高速缓冲存储器171。高速缓冲存储器171可包含若干存储器单元(例如,SRAM单元阵列)及解码电路(例如,多路复用器、门及行解码器)。地址信号通过地址电路142接收,且由行解码器146及列解码器152解码以存取存储器阵列130。地址信号还可提供到控制器140。可通过使用感测电路150感测数据线上的电压及/或电流变化而从存储器阵列130读取数据。感测电路150可从存储器阵列130读取及锁存数据页(例如,行)。I/O电路144可用于经由数据总线156与主机110进行双向数据通信。写入电路148用以将数据写入到存储器阵列130。
控制器140(例如,库控制逻辑及/或排序器)解码由控制总线154从主机110提供的信号。这些信号可包含用以控制对存储器阵列130执行的操作(包含数据读取、数据写入及数据擦除操作)的芯片启用信号、写入启用信号及地址锁存信号。在各种实施例中,控制器140负责执行来自主机110的指令且对阵列130存取进行排序。存储器控制器140可为状态机、排序器或某一其它类型的控制器。控制器140可控制阵列(例如,存储器阵列130)中的移位数据(例如,右或左),以及提供到感测电路150及逻辑170以使感测电路150及逻辑170能够通过执行逻辑运算而计算错误码的若干指令。
感测电路150的实例可包括若干感测放大器及若干对应计算组件,其可用作且在本文中称为累加器且可用以(例如,对与互补数据线相关联的数据)执行逻辑运算。
在若干实施例中,感测电路150可用以在不经由感测线地址存取传送数据的情况下(例如,在不触发列解码信号的情况下)使用存储在阵列130中的数据作为输入而执行逻辑运算,且将逻辑运算的结果存储回到阵列130。因而,各种运算功能可使用感测电路150来执行且在感测电路150内执行,而非(或联合)由感测电路外部的处理资源(例如,由与主机110相关联的处理器及/或定位在装置120上(例如,在控制器140上或别处)的其它处理电路,例如ALU电路)来执行。
在各种先前方法中,例如与操作数相关联的数据将经由感测电路从存储器读取且经由I/O线(例如,经由局部I/O线及/或全局I/O线)提供到外部ALU电路。外部ALU电路可包含若干寄存器且将使用操作数执行运算功能,且将经由I/O线将结果传送回到阵列。相比之下,在本发明的若干实施例中,感测电路150经配置以不启用耦合到感测电路150的I/O线(例如,局部I/O线)的情况下对存储在存储器阵列130中的数据执行逻辑运算且将结果存储回到存储器阵列130。感测电路150可与阵列的存储器单元有间距地形成。额外逻辑电路170可经耦合到感测电路150且可用以存储(例如,高速缓冲及/或缓冲)本文中描述的运算的结果。
因而,在若干实施例中,阵列130及感测电路150外部的电路无需执行运算功能,因为感测电路150可在不使用外部处理资源的情况下执行适当逻辑运算以执行此类计算功能。因此,感测电路150可用以至少在某种程度上补充及/或取代此外部处理资源(或至少此外部处理资源的带宽消耗)。
然而,在若干实施例中,除由外部处理资源(例如,主机110)执行逻辑运算外,感测电路150也可用以执行逻辑运算(例如,以执行指令)。例如,主机110及/或感测电路150可限于仅执行某些逻辑运算及/或一定数目的逻辑运算。
启用I/O线可包含启用(例如,接通)具有耦合到解码信号(例如,列解码信号)的栅极及耦合到I/O线的源极/漏极的晶体管。然而,实施例不限于不启用I/O线。例如,在若干实施例中,感测电路(例如,150)可用以在不启用阵列的列解码线的情况下执行逻辑运算;然而,除传送回到阵列130外,还可启用(若干)局部I/O线以将结果传送到适合位置(例如,到外部寄存器)。
在若干实例中,存储器阵列130可存储可经监测以确定数据是否已被修改的数据。存储在存储器阵列130中的数据可包含敏感型数据。如本文中所使用,敏感型数据描述放置到存储器中且固定(例如,未换出)的数据。固定数据包含未在给定时间段内修改的数据。敏感型数据可包含密码、档案及文件,以及其它类型的敏感型数据。敏感型数据还可包含码存储。如本文中所使用,码存储包含可由主机110及/或由感测电路150以及其它处理资源执行的静态机器可执行指令。静态机器可执行指令是指不变化的机器可执行指令。码存储可包含例如操作系统(OS)或操作系统的部分。例如,敏感型数据可包含网络服务、任务调度器、存储器管理单元及/或核心,以及OS的其它部分。
主机110可将指令存储在存储器阵列130中以提供确定存储器阵列130中的数据是否已被修改的能力。主机110还可通过指示控制器140起始感测电路150中的所存储指令的执行而起始所存储指令的执行。主机110可提供对应于阵列中的存储器单元的地址范围。所存储指令可经执行以监测由所提供地址范围识别的存储器单元,以确定存储器阵列130中的数据是否已被修改。主机110可将地址范围提供到控制器140。
例如,作为启动序列的部分,主机110可将OS存储在存储器中。主机110可将其中启动序列及/或OS系统存储在存储器中的地址范围提供到控制器140。主机110还可将数据存储在存储器中且将其中数据存储在存储器中的地址范围提供到控制器140。
主机110还可向控制器140指示控制器140及/或感测电路150可响应于所监测数据已被修改的确定而实施的若干响应。在若干实例中,主机110可实施对所监测数据已被修改的确定的响应。例如,主机110可暂停系统100。暂停系统100可包含中断在主机110、控制器140及/或感测电路150上执行指令。
控制器140可从存储器阵列130接收存储器地址范围且可检索指令(PIM指令)以确定存储在对应于存储器地址范围的存储器单元中的数据是否已被修改。控制器140可将PIM指令提供到感测电路150以确定所存储数据是否已被修改。
控制器140可一次性及/或连续地将PIM指令提供到感测电路150。例如,控制器140可以特定时间间隔重复地将PIM指令提供到感测电路150。例如,时间间隔可为一秒以及其它时间间隔,使得控制器140可每秒将PIM指令提供到感测电路150。
如果确定敏感型数据已被修改,那么控制器140响应确定。例如,控制器140可通知主机110:暂停进一步执行PIM指令,开始执行不同数目的PIM指令及/或继续监测敏感型数据。控制器140可经由数据收集系统与主机110通信。数据收集系统可包含高速接口,例如控制总线154、OOB 157及/或数据总线156。数据收集系统还可包含具有未落入所监测存储器地址范围内的地址的存储器单元。例如,控制器140可将敏感型数据已被修改的通知存储在存储器阵列130的存储器单元中。主机110可监测存储器阵列130的存储器单元以确定数据是否已被修改。
感测电路150可接收且执行由控制器140提供的指令。感测电路150可计算错误码以确定敏感型数据是否已被修改。错误码可包含经由循环冗余校验(CRC)产生的校验值及/或经由散列函数产生的消息摘要,以及其它错误码。错误码可表示敏感型数据,使得敏感型数据的变化可导致错误码的变化。
错误码可从敏感型数据产生。即,敏感型数据可经操纵以产生错误码。在若干实例中,可针对敏感型数据的不同部分产生不同错误码。例如,可针对敏感型数据的第一部分产生第一错误码且可针对敏感型数据的第二部分产生第二错误码。敏感型数据的部分可与存储器阵列130的结构相关。例如,敏感型数据的部分可包含存储在耦合到存取线的存储器单元中的数据。因而,例如存储在耦合到第一存取线的存储器单元中的数据可用以产生第一错误码且存储在耦合到第二存取线的存储器单元中的数据可用以产生第二错误码。在若干实例中,在特定时间从敏感型数据产生的多个错误码可经组合以针对敏感型数据产生一个错误码。例如,表示敏感型数据的第一部分的第一错误码及表示敏感型数据的第二部分的第二错误码可经组合以产生表示敏感型数据的错误码。
可以若干不同时间间隔产生错误码。例如,可在第一时间(例如,t1)响应于将敏感型数据存储在存储器阵列130中而产生敏感型数据的第一错误码。可在第二时间(例如,t2)响应于来自主机110的请求而产生敏感型数据的第二错误码。所产生错误码可经存储在存储器阵列130及/或高速缓冲存储器171以及所产生错误码的其它可能存储位置中。可从存储器阵列130检索所产生错误码以比较所述错误码与最新产生的错误码。例如,第一错误码可经产生且经存储在存储器阵列130中。第二错误码可经产生且经存储在感测电路150(例如,图2中的计算组件231)中。第一错误码可从存储器阵列130检索且存储在感测电路150(例如,图2中的感测放大器206)中。可在感测电路150上比较第一错误码与第二错误码。
可比较错误码以确定第一错误码及第二错误码是否为相同错误码。即,可比较错误码以确定错误码在其中产生第一错误码且产生第二错误码的时间间隔内是否已变化。错误码的变化可指示敏感型数据已被修改。敏感型数据的变化可为由例如恶意软件产生的非所要变化。错误码的变化还可指示系统的故障。系统可响应于例如系统中的漏洞而发生故障。在若干实例中,确定所述第一错误码及所述第二错误码是否为所述相同错误码包括确定来自所述多个第一错误码及所述多个第二错误码的相关联错误码是否彼此相同。在若干实例中,通知所述主机所述第一错误码及所述第二错误码并非所述相同错误码包括:识别对应于并非彼此相同的所述相关联错误码的所述存储器地址范围的部分;及在所述通知中包含所述存储器地址范围的所述部分。
感测电路150可在不经由输入/输出(I/O)线传送数据的情况下通过执行若干“与”运算、“或”运算、移位运算及/或反相运算以及其它逻辑运算而计算错误码及/或比较错误码。在图3及4中描述使用感测电路150实施“与”运算、“或”运算、移位运算及/或反相运算。
可在感测电路150上不间断地执行经执行以计算错误码及/或比较错误码的逻辑运算。即,可执行第一数目的逻辑运算以计算错误码及/或比较错误码,而不停止执行第一数目的逻辑运算以执行用以执行与错误码的计算及/或错误码的比较无关的不同动作的第二数目的逻辑运算。
用以计算错误码及/或比较错误码的第一数目的逻辑运算的执行可经分配在第二数目的逻辑运算的执行之间。例如,来自第一数目的逻辑运算的第一组逻辑运算可在来自第二数目的逻辑运算的第一组逻辑运算执行之前执行。来自第一数目的逻辑运算的第二组逻辑运算可在来自第二数目的逻辑运算的第一组逻辑运算执行之后执行。
在若干实例中,作为执行不同动作的逻辑运算的部分,确定数据是否已被修改可包含计算错误码及/或比较错误码。例如,作为刷新阵列130的部分,可比较错误码及/或可计算错误码。
执行存储在存储器阵列130中的数据的刷新可包含将数据存储在感测电路150中。存储器控制器可确定所刷新存储器单元是否落入由主机110提供的存储器地址范围内。如果存储器单元未落入存储器地址范围内,那么可继续刷新其它存储器单元。如果存储器单元位于存储器地址范围内,那么针对存储在存储器地址范围内的存储器单元中的数据,可产生错误码且可比较错误码。
刷新可包含将数据存储在感测电路150中。一旦将数据存储在感测电路150中,便可针对所存储数据产生错误码。可比较错误码与数据的先前产生的错误码以确定数据是否已被修改。
作为刷新的部分,确定数据是否已被修改可分别减少刷新数据及确定数据是否已被修改所需的时间,因为两个动作(例如,刷新及确定数据是否已被修改)包含将数据存储在感测电路150中。一次性将数据存储在感测电路150中以刷新数据及确定数据是否已被修改减少将数据存储在感测电路150中的次数,这又减少刷新数据及确定数据是否已被修改所花费的时间。
图1B是根据本发明的若干实施例的呈包含经由通道控制器143耦合到主机110的多个存储器装置120-1、…、120-N的运算系统的形式的设备架构的框图。在至少一个实施例中,通道控制器143可呈例如与多个存储器装置120-1、…、120-N形成在相同芯片上的模块118的形式以集成方式耦合到多个存储器装置120-1、…、120-N。在替代实施例中,通道控制器143可与主机110集成在一起(如由虚线111所说明),例如与多个存储器装置120-1、…、120-N形成在不同芯片上。如图1A中所描述,通道控制器143可经由控制总线(例如,地址及控制(A/C)总线)154耦合到多个存储器装置120-1、…、120-N中的每一者,所述控制总线154又可耦合到主机110。如图1A中所描述,通道控制器143还可经由数据总线156而耦合到多个存储器装置120-1、…、120-N中的每一者,数据总线156又可耦合到主机110。另外,如结合图5到8更多地描述,通道控制器143可经由与高速接口(HSI)141相关联的OOB总线157耦合到多个存储器装置120-1、…、120-N中的每一者,OOB总线157经配置以向通道控制器143报告状态、例外及其它数据信息以与主机110交换。例如,通道控制器143可通知主机110第一错误码及第二错误码并不相同,从而指示存储在特定数目的存储器单元中的数据已被修改。通道控制器143可经由控制总线154、数据总线156及/或OOB总线157通知主机110。
如图1B中所展示,通道控制器143可从与多个存储器装置120-1、…、120-N中的每一者中的库仲裁器145相关联的高速接口(HSI)(本文中也称为状态通道接口)141接收状态及例外信息。在图1B的实例中,多个存储器装置120-1、…、120-N中的每一者可包含用以使用多个库(例如,Bank零(0)、Bank一(1)、…、Bank六(6)、Bank七(7)等)排序控制及数据的库仲裁器145。多个库(Bank 0、…、Bank 7)中的每一者可包含如结合图1A所描述的存储器控制器140及其它组件(包含存储器单元阵列130及感测电路150、外围逻辑170等)。
即,多个存储器装置120-1、…、120-N中的多个库(例如,Bank 0、…、Bank 7)中的每一者可包含图1A中的地址电路142以锁存经由数据总线156(例如,I/O总线)通过I/O电路144提供的地址信号。状态、例外信息及/或存储在特定数目的存储器单元中的数据已变化的通知可使用OOB总线157从存储器装置120上的存储器控制器140提供到通道控制器143,其又可从多个存储器装置120-1、…、120-N提供到主机110。针对多个库(例如,Bank 0、…、Bank 7)中的每一者,地址信号可通过图1A中的地址电路142接收,且通过图1A中的行解码器146及列解码器152解码以存取图1A中的存储器阵列130。可通过使用图1A中的感测电路150感测数据线上的电压及/或电流变化而从存储器阵列130读取数据。感测电路150可从存储器阵列130读取及锁存数据页(例如,行)。图1A中的I/O电路144可用于经由数据总线156与主机110进行双向数据通信。图1A中的写入电路148可用来将数据写入到存储器阵列130,且OOB总线157可用以向通道控制器143报告状态、例外及其它数据信息。
通道控制器143可包含用以存储程序指令的一或多个局部缓冲器161,且可包含用以分配每一相应库阵列中的多个位置(例如,子阵列)以存储与多个存储器装置120-1、…、120-N中的每一者的操作相关联的各种库的库命令及自变量(PIM命令)的逻辑160。通道控制器143可将命令(例如,PIM命令)调度到多个存储器装置120-1、…、120-N以将那些程序指令存储在存储器装置的给定库内。
如上文结合图1A所描述,存储器阵列130可为例如DRAM阵列、SRAM阵列、STT RAM阵列、PCRAM阵列、TRAM阵列、RRAM阵列、NAND快闪阵列及/或NOR快闪阵列。阵列130可包括布置成由存取线(本文中可称为字线或选择线)耦合的行及由感测线(本文中可称为数据线或数字线)耦合的列的存储器单元。
如在图1A中,与给定存储器装置120-1、…、120-N中的任何特定库Bank 0、…、Bank7相关联的存储器控制器140(例如,库控制逻辑及/或排序器)可解码由控制总线154从主机110提供的信号。这些信号可包含用以控制对存储器阵列130执行的操作(包含数据读取、数据写入及数据擦除操作)的芯片启用信号、写入启用信号及地址锁存信号。在各种实施例中,存储器控制器140负责执行来自主机110的指令。且如上文,存储器控制器140可为状态机、排序器或某种其它类型的控制器。即,控制器140可控制阵列(例如,存储器阵列130)中的移位数据(例如,右或左)。
图2是说明根据本发明的若干实施例的感测电路250的示意图。感测电路250可对应于图1A中所展示的感测电路150。感测电路250的感测放大器206可对应于图2中所展示的感测放大器206,且感测电路250的计算组件231可对应于感测电路,包含计算组件。
存储器单元包括存储元件(例如,电容器)及存取装置(例如,晶体管)。例如,第一存储器单元包括晶体管202-1及电容器203-1,且第二存储器单元包括晶体管202-2及电容器203-2等。在这个实例中,存储器阵列230是1T1C(单晶体管单电容器)存储器单元的DRAM阵列。在若干实施例中,存储器单元可为破坏性读取存储器单元(例如,读取存储在单元中的数据会破坏数据,使得最初存储在单元中的数据在读取之后被刷新)。
存储器阵列230的单元可经布置成由字线204-X(行X)、204-Y(行Y)等耦合的行及由互补感测线对(例如,数据线DIGIT(n-1)/DIGIT(n-1)_、DIGIT(n)/DIGIT(n)_、DIGIT(n+1)/DIGIT(n+1)_)耦合的列。对应于每一对互补感测线的个别感测线也可分别称为数据线205-1(D)及205-2(D_)。尽管图2中仅展示一对互补数据线,但本发明的实施例不限于此,且存储器单元阵列可包含存储器单元及/或数据线的额外列(例如,4,096个、8,192个、16,384个等)。
存储器单元可经耦合到不同数据线及/或字线。例如,晶体管202-1的第一源极/漏极区域可经耦合到数据线205-1(D),晶体管202-1的第二源极/漏极区域可经耦合到电容器203-1,且晶体管202-1的栅极可经耦合到字线204-X。晶体管202-2的第一源极/漏极区域可经耦合到数据线205-2(D_),晶体管202-2的第二源极/漏极区域可经耦合到电容器203-2,且晶体管202-2的栅极可经耦合到字线204-Y。单元板(如图2中所展示)可经耦合到电容器203-1及203-2中的每一者。单元板可为可在各种存储器阵列配置中施加参考电压(例如,接地)的共同节点。
根据本发明的若干实施例,存储器阵列230经耦合到感测电路250。在这个实例中,感测电路250包括对应于存储器单元的相应列(例如,耦合到相应互补数据线对)的感测放大器206及计算组件231。感测放大器206可经耦合到互补感测线对205-1及205-2。计算组件231可经由通过门207-1及207-2耦合到感测放大器206。通过门207-1及207-2的栅极可经耦合到逻辑运算选择逻辑213。
逻辑运算选择逻辑213可经配置以包含:通过门逻辑,其用于控制将未转置的互补感测线对耦合在感测放大器206与计算组件231之间(如图2中所展示)的通过门;及/或交换门逻辑,其用于控制将经转置的互补感测线对耦合在感测放大器206与计算组件231之间的交换门。逻辑运算选择逻辑213还可经耦合到互补感测线对205-1及205-2。逻辑运算选择逻辑213可经配置以基于选定逻辑运算控制通过门207-1及207-2的连续性,如下文针对逻辑运算选择逻辑413的各种配置所详细描述。
感测放大器206可经操作以确定存储在选定存储器单元中的数据值(例如,逻辑状态)。感测放大器206可包括交叉耦合锁存器,其在本文中可称为主锁存器。在图2中所说明的实例中,对应于感测放大器206的电路包括锁存器215,锁存器215包含耦合到一对互补数据线D 205-1及D_205-2的四个晶体管。然而,实施例不限于这个实例。锁存器215可为交叉耦合锁存器(举例来说,一对晶体管(例如n沟道晶体管(例如,NMOS晶体管)227-1及227-2)的栅极与另一对晶体管(例如p沟道晶体管(例如,PMOS晶体管)229-1及229-2)的栅极交叉耦合)。包括晶体管227-1、227-2、229-1及229-2的交叉耦合锁存器215可称为主锁存器。
在操作中,当感测(例如,读取)存储器单元时,数据线205-1(D)或205-2(D_)中的一者上的电压将略大于数据线205-1(D)或205-2(D_)中的另一者上的电压。可将ACT信号及RNL*信号驱动为低以启用(例如,触发)感测放大器206。与PMOS晶体管229-1或229-2中的一者相比,具有更低电压的数据线205-1(D)或205-2(D_)将在更大程度上接通PMOS晶体管229-1或229-2中的另一者,由此与将数据线205-1(D)或205-2(D_)驱动为高相比,在更大程度上将具有更高电压的另一数据线205-1(D)或205-2(D_)驱动为高。
类似地,与NMOS晶体管227-1或227-2中的一者相比,具有更高电压的数据线205-1(D)或205-2(D_)将在更大程度上接通NMOS晶体管227-1或227-2中的另一者,由此与将数据线205-1(D)或205-2(D_)驱动为低相比,在更大程度上将具有更低电压的另一数据线205-1(D)或205-2(D_)驱动为低。因此,在短暂延迟之后,通过源晶体管211将具有略大电压的数据线205-1(D)或205-2(D_)驱动为供应电压的电压VCC,且通过汲入晶体管213将另一数据线205-1(D)或205-2(D_)驱动为参考电压的电压(例如,接地)。因此,交叉耦合NMOS晶体管227-1及227-2以及PMOS晶体管229-1及229-2用作感测放大器对,其放大数据线205-1(D)及205-2(D_)上的差动电压且操作以锁存从选定存储器单元感测的数据值。如本文中所使用,感测放大器206的交叉耦合锁存器可称为主锁存器215。
实施例不限于图2中所说明的感测放大器206配置。作为实例,感测放大器206可为电流模式感测放大器及/或单端感测放大器(例如,耦合到一根数据线的感测放大器)。再者,本发明的实施例不限于例如图2中所展示的折叠数据线架构。
感测放大器206可结合计算组件231操作以使用来自阵列的数据作为输入而执行各种逻辑运算。在若干实施例中,可在不经由数据线地址存取传送数据的情况下(例如,在不触发列解码信号使得数据经由局部I/O线传送到阵列及感测电路外部的电路的情况下)将逻辑运算的结果存储回到阵列。因而,与各种先前方法相比,本发明的若干实施例可使用更少电力实现执行逻辑运算及其相关联运算功能。另外,由于若干实施例无需跨I/O线传送数据以便执行计算功能(例如,在存储器与离散处理器之间),所以与先前方法相比,若干实施例可实现增加的并行处理能力。例如,感测放大器206可结合计算组件231操作以计算存储在阵列中的数据的错误码且比较错误码与数据的初始错误码以确定数据是否已被修改。
感测放大器206进一步可包含平衡电路214,平衡电路214可经配置以平衡数据线205-1(D)及205-2(D_)。在这个实例中,平衡电路214包括耦合在数据线205-1(D)与205-2(D_)之间的晶体管224。平衡电路214还包括晶体管225-1及225-2,其各自具有耦合到平衡电压(例如,VDD/2)的第一源极/漏极区域,其中VDD是与阵列相关联的供应电压。晶体管225-1的第二源极/漏极区域可耦合数据线205-1(D),且晶体管225-2的第二源极/漏极区域可耦合数据线205-2(D_)。晶体管224、225-1及225-2的栅极可经耦合在一起且经耦合到平衡(EQ)控制信号线226。因而,激活EQ启用晶体管224、225-1及225-2,此将数据线205-1(D)及205-2(D_)有效地短接在一起且短接到平衡电压(例如,VCC/2)。
尽管图2展示包括平衡电路214的感测放大器206,但实施例不限于此,且平衡电路214可与感测放大器206离散地实施,以与图2中所展示不同的配置实施或完全不实施。
如下文进一步描述,在若干实施例中,感测电路(例如,感测放大器206及计算组件231)可经操作以在不经由I/O线从感测电路传送数据的情况下(例如,不经由例如列解码信号的激活执行数据线地址存取的情况下),执行选定逻辑运算且最初将结果存储在感测放大器206或计算组件231中的一者中。
逻辑运算(例如,涉及数据值的布尔逻辑函数)的执行是基本且常用的。在许多较高阶函数中使用布尔逻辑函数。因此,可使用改善的逻辑运算实现的速度及/或功率效率可转化成较高阶功能的速度及/或功率效率。
如图2中所展示,计算组件231还可包括锁存器,所述锁存器在本文中可称为次锁存器264。次锁存器264可以与上文关于主锁存器215描述类似的方式配置及操作,但包括次锁存器的交叉耦合p沟道晶体管(例如,PMOS晶体管)对可使其相应源极耦合到供应电压(例如,VDD),且次锁存器的交叉耦合n沟道晶体管(例如,NMOS晶体管)对可使其相应源极选择性地耦合到参考电压(例如,接地),使得连续地启用次锁存器。计算组件的配置不限于图2中在231处展示的配置,且下文进一步描述各种其它实施例。
图3是说明根据本发明的若干实施例的能够实施XOR逻辑运算的感测电路的示意图。图3展示耦合到一对互补感测线305-1及305-2的感测放大器306,及经由通过门307-1及307-2耦合到感测放大器306的计算组件331。图3中所展示的感测放大器306可对应于图2中所展示的感测放大器206。图3中所展示的计算组件331可对应于例如图1A中所展示的感测电路(包含计算组件)150。图3中所展示的逻辑运算选择逻辑313可对应于例如图4中所展示的逻辑运算选择逻辑413。
通过门307-1及307-2的栅极可受控于逻辑运算选择逻辑信号Pass。例如,逻辑运算选择逻辑的输出可经耦合到通过门307-1及307-2的栅极。计算组件331可包括经配置以使数据值左移及右移的可加载移位寄存器。
图3中所展示的感测电路还展示耦合到若干逻辑选择控制输入控制线(包含ISO、TF、TT、FT及FF)的逻辑运算选择逻辑313。从逻辑选择控制输入控制线的逻辑选择控制信号的条件以及在经由断言ISO控制信号启用隔离晶体管时存在于互补感测线对305-1及305-2上的数据值而确定从多个逻辑运算选择逻辑运算。
根据各种实施例,逻辑运算选择逻辑313可包含四个逻辑选择晶体管:逻辑选择晶体管362,其经耦合在交换晶体管342的栅极与TF信号控制线之间;逻辑选择晶体管352,其经耦合在通过门307-1及307-2的栅极与TT信号控制线之间;逻辑选择晶体管354,其经耦合在通过门307-1及307-2的栅极与FT信号控制线之间;及逻辑选择晶体管364,其经耦合在交换晶体管342的栅极与FF信号控制线之间。逻辑选择晶体管362及352的栅极通过隔离晶体管350-1(具有耦合到ISO信号控制线的栅极)经耦合到真感测线。逻辑选择晶体管364及354的栅极通过隔离晶体管350-2(也具有耦合到ISO信号控制线的栅极)经耦合到互补感测线。
存在于互补感测线对305-1及305-2上的数据值可经由通过门307-1及307-2加载到计算组件331中。计算组件331可包括可加载移位寄存器。当通过门307-1及307-2开启时,互补感测线对305-1及305-2上的数据值经传递到计算组件331且由此经加载到可加载移位寄存器中。互补感测线对305-1及305-2上的数据值可为在触发感测放大器时存储在感测放大器306中的数据值。逻辑运算选择逻辑信号Pass为高以开启通过门307-1及307-2。
ISO、TF、TT、FT及FF控制信号可操作以基于感测放大器306中的数据值(“B”)及计算组件331中的数据值(“A”)选择逻辑函数来实施。特定来说,ISO、TF、TT、FT及FF控制信号经配置以选择逻辑函数以独立于存在于互补感测线对305-1及305-2上的数据值来实施,尽管所实施逻辑运算的结果可取决于存在于互补感测线对305-1及305-2上的数据值。即,ISO、TF、TT、FT及FF控制信号选择逻辑运算来直接实施,因为存在于互补感测线对305-1及305-2上的数据值未经传递通过逻辑以操作通过门307-1及307-2的栅极。
另外,图3展示经配置以在感测放大器306与计算组件331之间交换互补感测线对305-1及305-2的定向的交换晶体管342。当交换晶体管342开启时,交换晶体管342的感测放大器306侧上的互补感测线对305-1及305-2上的数据值相反耦合到交换晶体管342的计算组件331侧上的互补感测线对305-1及305-2,且借此加载到计算组件331的可加载移位寄存器中。
当激活ISO控制信号线且在真感测线的数据值为“1”的情况下激活TT控制信号(例如,为高)或在互补感测线的数据值为“1”的情况下激活FT控制信号(例如,为高)时,可激活逻辑运算选择逻辑信号Pass(例如,为高)以开启通过门307-1及307-2(例如,导通)。
真感测线的数据值是“1”开启逻辑选择晶体管352及362。互补感测线的数据值是“1”开启逻辑选择晶体管354及364。如果ISO控制信号或对应感测线(例如,特定逻辑选择晶体管的栅极所耦合的感测线)上的相应TT/FT控制信号或数据值并非为高,那么通过门307-1及307-2将不由特定逻辑选择晶体管来开启。
当激活ISO控制信号线且在真感测线的数据值为“1”的情况下激活TF控制信号(例如,为高)或在互补感测线的数据值为“1”的情况下激活FF控制信号(例如,为高)时,可激活逻辑运算选择逻辑信号PassF(例如,为高)以开启交换晶体管342(例如,导通)。如果对应感测线(例如,特定逻辑选择晶体管的栅极所耦合的感测线)上的相应控制信号或数据值并非为高,那么交换晶体管342将不由特定逻辑选择晶体管来开启。
Pass*控制信号未必与Pass控制信号互补。可同时激活或撤销激活Pass及Pass*控制信号两者。然而,Pass及Pass*控制信号两者的同时激活使互补感测线对短接在一起,此可为应避免的破坏性配置。
图3中所说明的感测电路经配置以直接从四个逻辑选择控制信号选择多个逻辑运算中的一者来实施(例如,逻辑运算选择并不取决于存在于互补感测线对上的数据值)。逻辑选择控制信号的一些组合可引起通过门307-1及307-2以及交换晶体管342两者同时开启,这使互补感测线对305-1及305-2短接在一起。根据本发明的若干实施例,可由图3中所说明的感测电路实施的逻辑运算可为图4中所展示的逻辑表中所概述的逻辑运算。
图4是说明根据本发明的若干实施例的由图3中所展示的感测电路实施的可选择逻辑运算结果的逻辑表。四个逻辑选择控制信号(例如,TF、TT、FT及FF)可结合存在于互补感测线的特定数据值用以选择多个逻辑运算中的一者来实施,此涉及存储在感测放大器806及计算组件831中的起始数据值。四个控制信号可结合存在于互补感测线的特定数据值控制通过门807-1及807-2以及交换晶体管842的连续性,这又在触发之前/之后影响计算组件831及/或感测放大器806中的数据值。选择性地控制交换晶体管842的连续性的能力促进实施尤其涉及反相数据值(例如,反相操作数及/或反相结果)的逻辑运算。
图4中所说明的逻辑表4-1在444处展示列A中所展示存储在计算组件631中的起始数据值,且在445处展示列B中所展示存储在感测放大器606中的起始数据值。逻辑表4-1中的其它3个列标头是指通过门307-1及307-2以及交换晶体管342的连续性,其可取决于四个逻辑选择控制信号(例如,TF、TT、FT及FF)的状态、结合存在于互补感测线对305-1及305-2上的特定数据值而分别控制为开启或关闭。“Not Open”列对应于通过门307-1及307-2以及交换晶体管342皆处于非导通状态,“Open True”对应于通过门307-1及307-2处于导通状态,且“Open Invert”对应于交换晶体管342处于导通状态。逻辑表4-1中未反映对应于通过门307-1及307-2以及交换晶体管342皆处于导通条件的配置,因为此导致感测线短接在一起。
经由通过门307-1及307-2以及交换晶体管342的连续性的选择性控制,逻辑表4-1的上部分的三个列中的每一者可与逻辑表4-1的下部分的三个列中的每一者组合,以提供对应于九个不同逻辑运算的3×3=9种不同结果组合,如由475处所展示的各种连接路径所指示。图4中所说明的逻辑表4-2中概述可由感测电路850实施的九种不同可选择逻辑运算,包含“异或”逻辑运算。
图4中所说明的逻辑表4-2的列展示包含逻辑选择控制信号的状态的标头480。例如,在行476中提供第一逻辑选择控制信号的状态,在行477中提供第二逻辑选择控制信号的状态,在行478中提供第三逻辑选择控制信号的状态,且在行479中提供第四逻辑选择控制信号的状态。在行447中概述对应于结果的特定逻辑运算。
图5说明通道控制器543与呈x64DQ一(1)级配置的存储器装置520的库仲裁器之间的OOB总线(HSI)557的实例。如图5的实例中所展示,一级启用位(接脚)547从通道控制器543提供到存储器装置520。在这个实例中,可针对通道从存储器装置520接收16个SQ(状态输出/接脚)549且可接收4个SQS(状态输出选通)551。
图6说明通道控制器643与呈x64DQ四(4)级配置的存储器装置620的库仲裁器之间的OOB总线(HSI)657的实例。在这个实例中,展示四(4)个单独级启用位647(0、1、2及3)中的每一者位于针对一个通道独立连接到四个存储器装置620-1、620-2、…、620-4的通道控制器643上。在这个实例中,仍接收16个SQ(状态输出)649,但现针对通道从四个存储器装置620-1、620-2、…、620-4接收。同样地,在针对四个存储器装置620-1、620-2、…、620-4的通道上接收四(4)个SQS(状态输出选通)651。
在这种情况下,正如划分双倍数据率(DDR)接口的带宽那样划分状态通道的带宽,此有效地经时域多路复用以避免OOB 657的争用。每一存储器装置620(例如,620-1、620-2、…、620-4)在激活其级启用输入时轮流地被授权存取单向状态总线657。当选择x64模式(融合选项或测试选项)时,每一存储器装置620可在级启用输入的活动边缘处传输一个数据包。可与SQS选通接脚651同步而流式输出状态输出位649,每个时钟周期1位。第一位可为前置位。在一个实例中,如果前置位是逻辑0,那么存储器装置(例如,620-1、620-2、…、620-4)将以八(8)个连续时钟传输关于16个DQ的128位状态消息。在这个消息的结尾处,SQ及SQS接脚将转变为三状态模式,且接口电路将等待级启用输入上的下一有效边缘来传输额外消息。如果无消息在存储器控制器(例如,给定存储器装置620的存储器控制器640)的输出队列中等待,那么前置位的意义将是逻辑1。SQ及SQS驱动器将在下一连续时钟关断。存储器装置将恢复到等待级启用输入上的另一有效边缘。
图7说明通道控制器743与呈x32DQ一(1)级配置的存储器装置720的库仲裁器之间的OOB总线(HSI)757的实例。在关于存储器720的x32 DQ配置的情况下,正如可拆分数据通道那样,可如图7中所展示那样拆分状态通道,其中状态输出740的一半(以及DQ及DQS的一半)前往架构中的存储器装置720中的每一者。
在这个实例中,第一级的级启用输入747皆捆绑在一起。因此,其将全部开始在较低八(8)个SQ接脚749上传输其状态消息。协议与在x64情况下大体相同,其中数据在SQS的有效主动时钟边缘上的前置码之后发送。与先前实例的不同之处是由于数据总线是每一存储器装置720的一半宽,所以消息长度将从八(8)个数据时钟增大到十六(16)个,以适应相同的128位消息大小。
图8说明通道控制器843与呈x16 DQ四(4)级配置的存储器装置820的库仲裁器之间的OOB总线(HSI)857的实例。在关于存储器820的x16 DQ配置的情况下,如图8中所展示,状态通道可划分成四(4)个部分,其中每一存储器装置(例如,820-1、820-5、820-9及820-13)经由给定存储器装置的SQ总线849的最低四(4)个位连接到通道控制器843。在实例中,每个消息的时钟周期的数目再次增长,现增长到三十二(32)个以适应相同的128位消息大小。
尽管已在本文中说明及描述特定实施例,但所属领域的一般技术人员将明白,经计算以达成相同结果的布置可置换所展示的特定实施例。本发明意图涵盖本发明的一或多个实施例的调适或变动。应了解,已以说明性方式而非限制性方式进行上文描述。所属领域的技术人员在检视上文描述之后将明白未在本文中具体描述的上述实施例的组合及其它实施例。本发明的一或多个实施例的范围包含其中使用上述结构及方法的其它应用。因此,应参考所附权利要求书连同此权利要求书被授权的等效物的全部范围而确定本发明的一或多个实施例的范围。
在前文详细描述中,出于简化本发明的目的将一些特征起集合在单个实施例中。本发明的此方法不应被解释为反映以下意图:本发明所揭示的实施例必须使用多于每一权利要求中明确陈述的特征。相反,如所附权利要求书所反映,发明标的物在于少于单个所揭示实施例的所有特征。因此,所附权利要求书以此方式并入详细描述中,其中每一权利要求单独作为独立实施例。

Claims (21)

1.一种操作存储器的方法,其包括:
在控制器(140)处接收对应于阵列(130)中的存储器单元的存储器地址范围;
在所述控制器(140)处存取存储在所述存储器单元中的数据的第一错误码;
在感测电路(150、250)上计算所述数据的第二错误码,其中用以计算所述第二错误码的多个运算的执行经分配在多个不同运算的执行之间;
在所述控制器(140)处确定所述第一错误码及所述第二错误码是否为相同错误码;及
响应于所述第一错误码及所述第二错误码并非相同错误码的确定,通知主机(110)所述第一错误码及所述第二错误码并非相同错误码。
2.根据权利要求1所述的方法,其进一步包括经由数据收集系统通知所述主机(110)。
3.根据权利要求2所述的方法,其中所述数据收集系统包括具有未落入所述存储器地址范围内的地址的数据收集存储器单元。
4.根据权利要求1所述的方法,其进一步包括响应于所述第一错误码及所述第二错误码为不同错误码的所述确定而停止在所述感测电路(150、250)上执行所述多个运算及所述多个不同运算。
5.根据权利要求1所述的方法,其中:
所述第一错误码包括多个第一错误码,所述多个第一错误码中的每一者对应于存储在耦合到第一存取线的存储器单元中的数据;及
计算所述第二错误码包括计算多个第二错误码,所述多个第二错误码中的每一者对应于存储在耦合到第二存取线的存储器单元中的数据。
6.根据权利要求5所述的方法,其中确定所述第一错误码及所述第二错误码是否为所述相同错误码包括确定来自所述多个第一错误码及所述多个第二错误码的相关联错误码是否彼此相同。
7.根据权利要求6所述的方法,其中通知所述主机(110)所述第一错误码及所述第二错误码并非所述相同错误码包括:
识别对应于并非彼此相同的所述相关联错误码的所述存储器地址范围的部分;及
在所述通知中包含所述存储器地址范围的所述部分。
8.根据权利要求1所述的方法,其中所述第一错误码及所述第二错误码是由散列函数产生的消息摘要。
9.根据权利要求1所述的方法,其中所述第一错误码及所述第二错误码是循环冗余校验CRC。
10.根据权利要求1所述的方法,其进一步包括响应于所述第一错误码及所述第二错误码并非相同错误码的所述确定而停止所述感测电路(150、250)的运算。
11.根据权利要求1所述的方法,其进一步包括响应于所述第一错误码及所述第二错误码并非相同错误码的所述确定而停止在所述主机(110)上执行指令。
12.根据权利要求1所述的方法,其进一步包括响应于所述第一错误码及所述第二错误码并非相同错误码的所述确定而停止在所述感测电路(150、250)上执行另一多个不同运算。
13.一种存储器设备,其包括:
存储器单元阵列(130),其经耦合到感测线;及
控制器(140),其经配置以:
控制耦合到所述感测线(305-1、305-2)的感测电路(150、250),以在不经由输入/输出I/O线传送数据的情况下执行若干运算以:
计算存储在所述存储器单元阵列(130)中的数据的错误码;
比较所述错误码与所述数据的初始错误码以确定所述数据是否已被修改;及
响应于所述数据已被修改的确定,将通知提供到主机(110)。
14.根据权利要求13所述的存储器设备,其中在不经由所述I/O线传送数据的情况下执行的所述若干运算包含AND运算、OR运算、SHIFT运算及INVERT运算。
15.根据权利要求13所述的存储器设备,其中:
所述感测电路(150、250)包括耦合到所述阵列的相应列的多个感测放大器(206、306)及多个对应计算组件(231、331);
所述控制器(140)经配置以将使所述感测电路(150、250)能够执行所述若干运算的指令提供到所述感测电路(150、250);且
以特定时间间隔将所述指令重复地提供到所述感测电路(150、250)。
16.一种操作存储器的方法,其包括:
在控制器处(140)接收对应于阵列(130)中的存储器单元的存储器地址范围;
在所述控制器(140)处存取存储在所述存储器单元中的数据的第一错误码;
在感测电路(150、250)上计算所述数据的第二错误码,其中不间断地执行用以计算所述第二错误码的多个运算;
在所述控制器(140)处确定所述第一错误码及所述第二错误码是否为相同错误码;及
通知主机(110)所述第一错误码及所述第二错误码并非相同错误码。
17.一种存储器设备,其包括:
存储器单元阵列(130),其经耦合到感测线(305-1、305-2)且存储数据;及
控制器(140),其经配置以:
刷新存储在所述存储器单元阵列(130)中的所述数据;及
控制感测电路(150、250):
所述感测电路(150、250)经耦合到所述感测线且经配置以:
作为所述刷新的部分,将所述数据存储在感测放大器(206、306)中;
计算所述数据的第二错误码;及
比较第一错误码与所述数据的所述第二错误码以确定所述数据是否已被修改。
18.根据权利要求17所述的存储器设备,其中所述刷新包含所述第二错误码的所述计算及所述第一错误码与所述第二错误码的所述比较。
19.根据权利要求17所述的存储器设备,其中存储在所述感测放大器(206、306)中的所述数据用于刷新所述数据且用于比较所述第一错误码与所述第二错误码。
20.根据权利要求17所述的存储器设备,其中所述控制器(140)经配置以确定存储在所述感测放大器(206、306)中的所述数据是否在具有落入由主机(110)提供的存储器地址范围内的存储器地址的存储器单元中起始,且其中所述感测电路(150、250)经配置以基于存储在所述感测放大器(206、306)中的所述数据在具有落入所述存储器地址范围内的所述存储器地址的存储器单元中起始的确定,计算所述数据的所述第二错误码且比较所述第一错误码与所述第二错误码。
21.根据权利要求17所述的存储器设备,其中在每次刷新所述数据时执行所述比较。
CN201780005826.9A 2016-01-06 2017-01-05 感测电路上的错误码计算 Active CN108475226B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202111050453.1A CN113674780B (zh) 2016-01-06 2017-01-05 操作存储器的方法、设备及系统

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/989,264 2016-01-06
US14/989,264 US9952925B2 (en) 2016-01-06 2016-01-06 Error code calculation on sensing circuitry
PCT/US2017/012240 WO2017120273A1 (en) 2016-01-06 2017-01-05 Error code calculation on sensing circuitry

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202111050453.1A Division CN113674780B (zh) 2016-01-06 2017-01-05 操作存储器的方法、设备及系统

Publications (2)

Publication Number Publication Date
CN108475226A CN108475226A (zh) 2018-08-31
CN108475226B true CN108475226B (zh) 2021-09-21

Family

ID=59235589

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202111050453.1A Active CN113674780B (zh) 2016-01-06 2017-01-05 操作存储器的方法、设备及系统
CN201780005826.9A Active CN108475226B (zh) 2016-01-06 2017-01-05 感测电路上的错误码计算

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202111050453.1A Active CN113674780B (zh) 2016-01-06 2017-01-05 操作存储器的方法、设备及系统

Country Status (5)

Country Link
US (6) US9952925B2 (zh)
EP (2) EP3400529B1 (zh)
CN (2) CN113674780B (zh)
TW (1) TWI615707B (zh)
WO (1) WO2017120273A1 (zh)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9952925B2 (en) * 2016-01-06 2018-04-24 Micron Technology, Inc. Error code calculation on sensing circuitry
CN207637499U (zh) 2016-11-08 2018-07-20 美光科技公司 用于形成在存储器单元阵列上方的计算组件的设备
KR20180055148A (ko) * 2016-11-16 2018-05-25 에스케이하이닉스 주식회사 반도체장치 및 반도체시스템
US10162005B1 (en) 2017-08-09 2018-12-25 Micron Technology, Inc. Scan chain operations
US10534553B2 (en) 2017-08-30 2020-01-14 Micron Technology, Inc. Memory array accessibility
US10416927B2 (en) 2017-08-31 2019-09-17 Micron Technology, Inc. Processing in memory
US10741239B2 (en) 2017-08-31 2020-08-11 Micron Technology, Inc. Processing in memory device including a row address strobe manager
US10346092B2 (en) 2017-08-31 2019-07-09 Micron Technology, Inc. Apparatuses and methods for in-memory operations using timing circuitry
US10606690B2 (en) * 2017-09-29 2020-03-31 Intel Corporation Memory controller error checking process using internal memory device codes
US10409739B2 (en) 2017-10-24 2019-09-10 Micron Technology, Inc. Command selection policy
US10522210B2 (en) 2017-12-14 2019-12-31 Micron Technology, Inc. Apparatuses and methods for subarray addressing
US10332586B1 (en) 2017-12-19 2019-06-25 Micron Technology, Inc. Apparatuses and methods for subrow addressing
US10614875B2 (en) 2018-01-30 2020-04-07 Micron Technology, Inc. Logical operations using memory cells
US11194477B2 (en) 2018-01-31 2021-12-07 Micron Technology, Inc. Determination of a match between data values stored by three or more arrays
US10437557B2 (en) 2018-01-31 2019-10-08 Micron Technology, Inc. Determination of a match between data values stored by several arrays
US10725696B2 (en) 2018-04-12 2020-07-28 Micron Technology, Inc. Command selection policy with read priority
US11003537B2 (en) * 2018-05-29 2021-05-11 Micron Technology, Inc. Determining validity of data read from memory by a controller
US10440341B1 (en) 2018-06-07 2019-10-08 Micron Technology, Inc. Image processor formed in an array of memory cells
US11288012B2 (en) 2018-07-23 2022-03-29 SK Hynix Inc. Memory system
US11487473B2 (en) 2018-07-23 2022-11-01 SK Hynix Inc. Memory system
US10915470B2 (en) 2018-07-23 2021-02-09 SK Hynix Inc. Memory system
KR102516584B1 (ko) 2018-11-21 2023-04-03 에스케이하이닉스 주식회사 메모리 시스템
KR102513919B1 (ko) * 2018-11-05 2023-03-27 에스케이하이닉스 주식회사 메모리 시스템
US10769071B2 (en) 2018-10-10 2020-09-08 Micron Technology, Inc. Coherent memory access
US11175915B2 (en) 2018-10-10 2021-11-16 Micron Technology, Inc. Vector registers implemented in memory
US10483978B1 (en) 2018-10-16 2019-11-19 Micron Technology, Inc. Memory device processing
US11184446B2 (en) 2018-12-05 2021-11-23 Micron Technology, Inc. Methods and apparatus for incentivizing participation in fog networks
US10867655B1 (en) 2019-07-08 2020-12-15 Micron Technology, Inc. Methods and apparatus for dynamically adjusting performance of partitioned memory
US10832745B1 (en) * 2019-07-26 2020-11-10 Micron Technology, Inc. Apparatuses and methods for performing operations using sense amplifiers and intermediary circuitry
US11360768B2 (en) 2019-08-14 2022-06-14 Micron Technolgy, Inc. Bit string operations in memory
US11449577B2 (en) 2019-11-20 2022-09-20 Micron Technology, Inc. Methods and apparatus for performing video processing matrix operations within a memory array
US11853385B2 (en) 2019-12-05 2023-12-26 Micron Technology, Inc. Methods and apparatus for performing diversity matrix operations within a memory array
JP7018089B2 (ja) * 2020-04-02 2022-02-09 ウィンボンド エレクトロニクス コーポレーション 半導体記憶装置および読出し方法
US11227641B1 (en) 2020-07-21 2022-01-18 Micron Technology, Inc. Arithmetic operations in memory
US11315656B1 (en) * 2021-02-23 2022-04-26 Realtek Semiconductor Corp. Detection circuit and detection method
US20240105276A1 (en) * 2022-09-28 2024-03-28 Texas Instruments Incorporated Data correction of redundant data storage

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1902583A (zh) * 2003-12-31 2007-01-24 桑迪士克股份有限公司 快闪存储器系统起动操作
CN101097784A (zh) * 2006-06-30 2008-01-02 英特尔公司 改善存储装置的可靠性、可用性及可维修性
CN101958146A (zh) * 2009-07-15 2011-01-26 旺宏电子股份有限公司 一种存储器装置及其操作方法
CN105183579A (zh) * 2015-09-24 2015-12-23 联想(北京)有限公司 一种数据校验方法及装置、电子设备

Family Cites Families (294)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4380046A (en) 1979-05-21 1983-04-12 Nasa Massively parallel processor computer
JPS6032911B2 (ja) 1979-07-26 1985-07-31 株式会社東芝 半導体記憶装置
US4435792A (en) 1982-06-30 1984-03-06 Sun Microsystems, Inc. Raster memory manipulation apparatus
US4727474A (en) 1983-02-18 1988-02-23 Loral Corporation Staging memory for massively parallel processor
EP0214718A3 (en) 1985-07-22 1990-04-04 Alliant Computer Systems Corporation Digital computer
US5201039A (en) 1987-09-30 1993-04-06 Mitsubishi Denki Kabushiki Kaisha Multiple address-space data processor with addressable register and context switching
US4843264A (en) 1987-11-25 1989-06-27 Visic, Inc. Dynamic sense amplifier for CMOS static RAM
US5276643A (en) 1988-08-11 1994-01-04 Siemens Aktiengesellschaft Integrated semiconductor circuit
JPH0713858B2 (ja) 1988-08-30 1995-02-15 三菱電機株式会社 半導体記憶装置
US5023838A (en) 1988-12-02 1991-06-11 Ncr Corporation Random access memory device with integral logic capability
US4958378A (en) 1989-04-26 1990-09-18 Sun Microsystems, Inc. Method and apparatus for detecting changes in raster data
US5253308A (en) 1989-06-21 1993-10-12 Amber Engineering, Inc. Massively parallel digital image data processor using pixel-mapped input/output and relative indexed addressing
EP0446721B1 (en) 1990-03-16 2000-12-20 Texas Instruments Incorporated Distributed processing memory
US5034636A (en) 1990-06-04 1991-07-23 Motorola, Inc. Sense amplifier with an integral logic function
US5210850A (en) 1990-06-15 1993-05-11 Compaq Computer Corporation Memory address space determination using programmable limit registers with single-ended comparators
JP3361825B2 (ja) 1990-08-22 2003-01-07 テキサス インスツルメンツ インコーポレイテツド メモリ・アレイ・アーキテクチャ
JPH06103599B2 (ja) 1990-11-16 1994-12-14 三菱電機株式会社 半導体集積回路装置
US5325519A (en) 1991-10-18 1994-06-28 Texas Microsystems, Inc. Fault tolerant computer with archival rollback capabilities
FR2685973B1 (fr) 1992-01-03 1994-02-25 France Telecom Point memoire pour memoire associative.
KR950005095Y1 (ko) 1992-03-18 1995-06-22 문정환 양방향성 그로벌 비트 라인을 갖는 dram
KR940004434A (ko) 1992-08-25 1994-03-15 윌리엄 이. 힐러 스마트 다이나믹 랜덤 억세스 메모리 및 그 처리방법
KR950004854B1 (ko) 1992-10-08 1995-05-15 삼성전자 주식회사 반도체 메모리 장치
US5440482A (en) 1993-03-25 1995-08-08 Taligent, Inc. Forward and reverse Boyer-Moore string searching of multilingual text having a defined collation order
US5485373A (en) 1993-03-25 1996-01-16 Taligent, Inc. Language-sensitive text searching system with modified Boyer-Moore process
US5369622A (en) 1993-04-20 1994-11-29 Micron Semiconductor, Inc. Memory with isolated digit lines
US5754478A (en) 1993-04-20 1998-05-19 Micron Technology, Inc. Fast, low power, write scheme for memory circuits using pulsed off isolation device
JP2663838B2 (ja) 1993-07-27 1997-10-15 日本電気株式会社 半導体集積回路装置
JP3252306B2 (ja) 1993-08-10 2002-02-04 株式会社日立製作所 半導体不揮発性記憶装置
JP3904244B2 (ja) 1993-09-17 2007-04-11 株式会社ルネサステクノロジ シングル・チップ・データ処理装置
JP3251421B2 (ja) 1994-04-11 2002-01-28 株式会社日立製作所 半導体集積回路
US5655113A (en) 1994-07-05 1997-08-05 Monolithic System Technology, Inc. Resynchronization circuit for a memory system and method of operating same
JPH0831168A (ja) 1994-07-13 1996-02-02 Hitachi Ltd 半導体記憶装置
US5481500A (en) 1994-07-22 1996-01-02 International Business Machines Corporation Precharged bit decoder and sense amplifier with integrated latch usable in pipelined memories
US5615404A (en) 1994-10-31 1997-03-25 Intel Corporation System having independently addressable bus interfaces coupled to serially connected multi-ported signal distributors generating and maintaining frame based polling schedule favoring isochronous peripherals
US5638128A (en) 1994-11-08 1997-06-10 General Instrument Corporation Of Delaware Pixel interpolation filters for video decompression processor
US5724366A (en) 1995-05-16 1998-03-03 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device
KR0146530B1 (ko) 1995-05-25 1998-09-15 김광호 단속제어회로를 구비한 반도체 메모리 장치와 제어방법
US7301541B2 (en) 1995-08-16 2007-11-27 Microunity Systems Engineering, Inc. Programmable processor and method with wide operations
JP2812262B2 (ja) 1995-08-31 1998-10-22 日本電気株式会社 連想記憶装置
US6385634B1 (en) 1995-08-31 2002-05-07 Intel Corporation Method for performing multiply-add operations on packed data
JP2817836B2 (ja) 1995-11-30 1998-10-30 日本電気株式会社 半導体メモリ装置
JP3356612B2 (ja) 1996-02-29 2002-12-16 インターナショナル・ビジネス・マシーンズ・コーポレーション 高速な輪郭スムージング方法及び装置
US6092186A (en) 1996-05-07 2000-07-18 Lucent Technologies Inc. Apparatus and method for aborting un-needed instruction fetches in a digital microprocessor device
US5915084A (en) 1996-09-30 1999-06-22 Advanced Micro Devices, Inc. Scannable sense amplifier circuit
US5991209A (en) 1997-04-11 1999-11-23 Raytheon Company Split sense amplifier and staging buffer for wide memory architecture
JP3592887B2 (ja) 1997-04-30 2004-11-24 株式会社東芝 不揮発性半導体記憶装置
US6510098B1 (en) 1997-05-28 2003-01-21 Cirrus Logic, Inc. Method and apparatus for transferring data in a dual port memory
JPH1115773A (ja) 1997-06-24 1999-01-22 Matsushita Electron Corp 半導体集積回路、コンピュータシステム、データ処理装置及びデータ処理方法
US5935263A (en) 1997-07-01 1999-08-10 Micron Technology, Inc. Method and apparatus for memory array compressed data testing
US6195734B1 (en) 1997-07-02 2001-02-27 Micron Technology, Inc. System for implementing a graphic address remapping table as a virtual register file in system memory
US6181698B1 (en) 1997-07-09 2001-01-30 Yoichi Hariguchi Network routing table using content addressable memory
US6025221A (en) 1997-08-22 2000-02-15 Micron Technology, Inc. Processing methods of forming integrated circuitry memory devices, methods of forming DRAM arrays, and related semiconductor masks
US5991785A (en) 1997-11-13 1999-11-23 Lucent Technologies Inc. Determining an extremum value and its index in an array using a dual-accumulation processor
US5867429A (en) 1997-11-19 1999-02-02 Sandisk Corporation High density non-volatile flash memory without adverse effects of electric field coupling between adjacent floating gates
US6163862A (en) 1997-12-01 2000-12-19 International Business Machines Corporation On-chip test circuit for evaluating an on-chip signal using an external test signal
JP3488612B2 (ja) 1997-12-11 2004-01-19 株式会社東芝 センス増幅回路
US5986942A (en) 1998-01-20 1999-11-16 Nec Corporation Semiconductor memory device
JPH11260057A (ja) 1998-03-13 1999-09-24 Nec Corp 半導体記憶装置
US6237124B1 (en) * 1998-03-16 2001-05-22 Actel Corporation Methods for errors checking the configuration SRAM and user assignable SRAM data in a field programmable gate array
JPH11265995A (ja) 1998-03-17 1999-09-28 Mitsubishi Electric Corp 半導体記憶装置
JPH11306751A (ja) 1998-04-22 1999-11-05 Toshiba Corp 半導体記憶装置
US6005799A (en) 1998-08-06 1999-12-21 Silicon Aquarius Methods and circuits for single-memory dynamic cell multivalue data storage
US6141286A (en) 1998-08-21 2000-10-31 Micron Technology, Inc. Embedded DRAM architecture with local data drivers and programmable number of data read and data write lines
US7409694B2 (en) 1998-09-09 2008-08-05 Microsoft Corporation Highly componentized system architecture with loadable virtual memory manager
JP2000173269A (ja) 1998-12-08 2000-06-23 Mitsubishi Electric Corp 半導体記憶装置
KR100381968B1 (ko) 1998-12-30 2004-03-24 주식회사 하이닉스반도체 고속동작용디램
US5999435A (en) 1999-01-15 1999-12-07 Fast-Chip, Inc. Content addressable memory device
US6389507B1 (en) 1999-01-15 2002-05-14 Gigabus, Inc. Memory device search system and method
US6134164A (en) 1999-04-22 2000-10-17 International Business Machines Corp. Sensing circuit for a memory cell array
US6741104B2 (en) 1999-05-26 2004-05-25 Micron Technology, Inc. DRAM sense amplifier for low voltages
US6157578A (en) 1999-07-15 2000-12-05 Stmicroelectronics, Inc. Method and apparatus for accessing a memory device
US6208544B1 (en) 1999-09-09 2001-03-27 Harris Corporation Content addressable memory cell providing simultaneous read and compare capability
US6578058B1 (en) 1999-10-06 2003-06-10 Agilent Technologies, Inc. System and method for comparing values from target systems
US7124221B1 (en) 1999-10-19 2006-10-17 Rambus Inc. Low latency multi-level communication interface
US6418498B1 (en) 1999-12-30 2002-07-09 Intel Corporation Integrated system management memory for system management interrupt handler independent of BIOS and operating system
JP4627103B2 (ja) 2000-01-18 2011-02-09 富士通セミコンダクター株式会社 半導体記憶装置及びその制御方法
AU2000224587A1 (en) 2000-02-04 2001-08-14 Hitachi Ltd. Semiconductor device
WO2001065359A2 (en) 2000-02-29 2001-09-07 Peter Petrov Method and apparatus for building a memory image
JP3983969B2 (ja) 2000-03-08 2007-09-26 株式会社東芝 不揮発性半導体記憶装置
US7028170B2 (en) 2000-03-08 2006-04-11 Sun Microsystems, Inc. Processing architecture having a compare capability
US6678678B2 (en) 2000-03-09 2004-01-13 Braodcom Corporation Method and apparatus for high speed table search
JP3822412B2 (ja) 2000-03-28 2006-09-20 株式会社東芝 半導体記憶装置
US6965648B1 (en) 2000-05-04 2005-11-15 Sun Microsystems, Inc. Source synchronous link integrity validation
EP2056301B1 (en) 2000-07-07 2011-11-30 Mosaid Technologies Incorporated A high speed dram architecture with uniform access latency
US6466499B1 (en) 2000-07-11 2002-10-15 Micron Technology, Inc. DRAM sense amplifier having pre-charged transistor body nodes
AU2001285161A1 (en) 2000-08-21 2002-03-04 United States Postal Services Delivery point validation system
US6301164B1 (en) 2000-08-25 2001-10-09 Micron Technology, Inc. Antifuse method to repair columns in a prefetched output memory architecture
US6704828B1 (en) 2000-08-31 2004-03-09 Micron Technology, Inc. System and method for implementing data pre-fetch having reduced data lines and/or higher data rates
US6948056B1 (en) 2000-09-28 2005-09-20 Intel Corporation Maintaining even and odd array pointers to extreme values by searching and comparing multiple elements concurrently where a pointer is adjusted after processing to account for a number of pipeline stages
JP4312947B2 (ja) 2000-11-06 2009-08-12 富士通マイクロエレクトロニクス株式会社 半導体記憶装置及びその出力データ更新方法
US6304477B1 (en) 2001-01-31 2001-10-16 Motorola, Inc. Content addressable magnetic random access memory
US6563754B1 (en) 2001-02-08 2003-05-13 Integrated Device Technology, Inc. DRAM circuit with separate refresh memory
US6650158B2 (en) 2001-02-21 2003-11-18 Ramtron International Corporation Ferroelectric non-volatile logic elements
US6807614B2 (en) 2001-07-19 2004-10-19 Shine C. Chung Method and apparatus for using smart memories in computing
US7546438B2 (en) 2001-07-19 2009-06-09 Chung Shine C Algorithm mapping, specialized instructions and architecture features for smart memory computing
ITRM20010531A1 (it) 2001-08-31 2003-02-28 Micron Technology Inc Dispositivo rilevatore a bassa potenza e alta tensione per memorie ditipo flash.
US7260672B2 (en) 2001-09-07 2007-08-21 Intel Corporation Using data stored in a destructive-read memory
US7062689B2 (en) 2001-12-20 2006-06-13 Arm Limited Method and apparatus for memory self testing
US20040073773A1 (en) 2002-02-06 2004-04-15 Victor Demjanenko Vector processor architecture and methods performed therein
US6707729B2 (en) 2002-02-15 2004-03-16 Micron Technology, Inc. Physically alternating sense amplifier activation
WO2003088033A1 (en) 2002-04-09 2003-10-23 University Of Rochester Multiplier-based processor-in-memory architectures for image and graphics processing
JP2003331598A (ja) 2002-05-13 2003-11-21 Mitsubishi Electric Corp 半導体記憶装置
US7406494B2 (en) 2002-05-14 2008-07-29 Texas Instruments Incorporated Method of generating a cycle-efficient bit-reverse index array for a wireless communication system
JP2003346484A (ja) 2002-05-23 2003-12-05 Mitsubishi Electric Corp 不揮発性半導体記憶装置
US6789099B2 (en) 2002-06-10 2004-09-07 International Business Machines Corporation Sense-amp based adder with source follower evaluation tree
US7054178B1 (en) 2002-09-06 2006-05-30 Etron Technology, Inc. Datapath architecture for high area efficiency
US6987693B2 (en) 2002-09-24 2006-01-17 Sandisk Corporation Non-volatile memory and method with reduced neighboring field errors
US7079407B1 (en) 2002-10-18 2006-07-18 Netlogic Microsystems, Inc. Content addressable memory (CAM) device including match line sensing
US6765834B2 (en) 2002-11-19 2004-07-20 Hewlett-Packard Development Company, L.P. System and method for sensing memory cells of an array of memory cells
KR100546307B1 (ko) 2002-12-05 2006-01-26 삼성전자주식회사 글로벌 입출력라인을 프리차지 및/또는 이퀄라이징하기위한 프리차지 회로를 구비하는 반도체 장치 및프리차지 및/또는 이퀄라이즈하는 트랜지스터의 레이아웃
US6731542B1 (en) 2002-12-05 2004-05-04 Advanced Micro Devices, Inc. Circuit for accurate memory read operations
US6888372B1 (en) 2002-12-20 2005-05-03 Altera Corporation Programmable logic device with soft multiplier
US20050015557A1 (en) 2002-12-27 2005-01-20 Chih-Hung Wang Nonvolatile memory unit with specific cache
US7346903B2 (en) 2003-02-04 2008-03-18 Sun Microsystems, Inc. Compiling and linking modules of a cycle-based logic design
US6768679B1 (en) 2003-02-10 2004-07-27 Advanced Micro Devices, Inc. Selection circuit for accurate memory read operations
US6819612B1 (en) 2003-03-13 2004-11-16 Advanced Micro Devices, Inc. Apparatus and method for a sense amplifier circuit that samples and holds a reference voltage
US6865122B2 (en) 2003-04-11 2005-03-08 Intel Corporation Reclaiming blocks in a block-alterable memory
US7454451B2 (en) 2003-04-23 2008-11-18 Micron Technology, Inc. Method for finding local extrema of a set of values for a parallel processing element
US7574466B2 (en) 2003-04-23 2009-08-11 Micron Technology, Inc. Method for finding global extrema of a set of shorts distributed across an array of parallel processing elements
US7447720B2 (en) 2003-04-23 2008-11-04 Micron Technology, Inc. Method for finding global extrema of a set of bytes distributed across an array of parallel processing elements
US9015390B2 (en) 2003-04-25 2015-04-21 Micron Technology, Inc. Active memory data compression system and method
DE10319271A1 (de) 2003-04-29 2004-11-25 Infineon Technologies Ag Speicher-Schaltungsanordnung und Verfahren zur Herstellung
JP3898152B2 (ja) 2003-05-27 2007-03-28 ローム株式会社 演算機能付き記憶装置および演算記憶方法
WO2005024843A1 (en) 2003-09-04 2005-03-17 Koninklijke Philips Electronics N.V. Integrated circuit and a method of cache remapping
US6956770B2 (en) 2003-09-17 2005-10-18 Sandisk Corporation Non-volatile memory and method with bit line compensation dependent on neighboring operating modes
US7177183B2 (en) 2003-09-30 2007-02-13 Sandisk 3D Llc Multiple twin cell non-volatile memory array and logic block structure and method therefor
US7913125B2 (en) 2003-11-04 2011-03-22 Lsi Corporation BISR mode to test the redundant elements and regular functional memory to avoid test escapes
US6950771B1 (en) 2003-12-09 2005-09-27 Xilinx, Inc. Correlation of electrical test data with physical defect data
US7401281B2 (en) 2004-01-29 2008-07-15 International Business Machines Corporation Remote BIST high speed test and redundancy calculation
US7631236B2 (en) 2004-01-29 2009-12-08 International Business Machines Corporation Hybrid built-in self test (BIST) architecture for embedded memory arrays and an associated method
JP4819316B2 (ja) 2004-02-23 2011-11-24 ルネサスエレクトロニクス株式会社 半導体装置
US7088606B2 (en) 2004-03-10 2006-08-08 Altera Corporation Dynamic RAM storage techniques
US7020017B2 (en) 2004-04-06 2006-03-28 Sandisk Corporation Variable programming of non-volatile memory
US7120063B1 (en) 2004-05-07 2006-10-10 Spansion Llc Flash memory cell and methods for programming and erasing
US8522205B2 (en) 2004-05-18 2013-08-27 Oracle International Corporation Packaging multiple groups of read-only files of an application's components into multiple shared libraries
JP2006127460A (ja) 2004-06-09 2006-05-18 Renesas Technology Corp 半導体装置、半導体信号処理装置、およびクロスバースイッチ
US7061817B2 (en) 2004-06-30 2006-06-13 Micron Technology, Inc. Data path having grounded precharge operation and test compression capability
US7116602B2 (en) 2004-07-15 2006-10-03 Micron Technology, Inc. Method and system for controlling refresh to avoid memory cell data losses
US7434024B2 (en) 2004-08-30 2008-10-07 Ati Technologies, Inc. SIMD processor with register addressing, buffer stall and methods
US7685365B2 (en) 2004-09-30 2010-03-23 Intel Corporation Transactional memory execution utilizing virtual memory
US20060069849A1 (en) 2004-09-30 2006-03-30 Rudelic John C Methods and apparatus to update information in a memory
US20060149804A1 (en) 2004-11-30 2006-07-06 International Business Machines Corporation Multiply-sum dot product instruction with mask and splat
US7230851B2 (en) 2004-12-23 2007-06-12 Sandisk Corporation Reducing floating gate to floating gate coupling effect
KR100673901B1 (ko) 2005-01-28 2007-01-25 주식회사 하이닉스반도체 저전압용 반도체 메모리 장치
US7543119B2 (en) 2005-02-10 2009-06-02 Richard Edward Hessel Vector processor
US7624313B2 (en) 2005-03-28 2009-11-24 Hewlett-Packard Development Company, L.P. TCAM BIST with redundancy
US7196928B2 (en) 2005-04-05 2007-03-27 Sandisk Corporation Compensating for coupling during read operations of non-volatile memory
US7187585B2 (en) 2005-04-05 2007-03-06 Sandisk Corporation Read operation for non-volatile storage that includes compensation for coupling
US7193898B2 (en) 2005-06-20 2007-03-20 Sandisk Corporation Compensation currents in non-volatile memory read operations
JP4547313B2 (ja) * 2005-08-01 2010-09-22 株式会社日立製作所 半導体記憶装置
KR100720644B1 (ko) 2005-11-17 2007-05-21 삼성전자주식회사 메모리 장치 및 메모리 그 동작 방법
WO2007069295A1 (ja) 2005-12-13 2007-06-21 Spansion Llc 半導体装置およびその制御方法
JP5129450B2 (ja) 2006-01-16 2013-01-30 ルネサスエレクトロニクス株式会社 情報処理装置
US8077533B2 (en) 2006-01-23 2011-12-13 Freescale Semiconductor, Inc. Memory and method for sensing data in a memory using complementary sensing scheme
JP4989900B2 (ja) 2006-01-31 2012-08-01 ルネサスエレクトロニクス株式会社 並列演算処理装置
US7400532B2 (en) 2006-02-16 2008-07-15 Micron Technology, Inc. Programming method to reduce gate coupling interference for non-volatile memory
KR100755370B1 (ko) 2006-04-17 2007-09-04 삼성전자주식회사 반도체 메모리 장치
TW200828333A (en) 2006-04-28 2008-07-01 Samsung Electronics Co Ltd Sense amplifier circuit and sense amplifier-based flip-flop having the same
US7752417B2 (en) 2006-06-05 2010-07-06 Oracle America, Inc. Dynamic selection of memory virtualization techniques
US7372715B2 (en) 2006-06-14 2008-05-13 Micron Technology, Inc. Architecture and method for NAND flash memory
US8069377B2 (en) 2006-06-26 2011-11-29 Micron Technology, Inc. Integrated circuit having memory array including ECC and column redundancy and method of operating the same
US7724559B2 (en) 2006-07-14 2010-05-25 International Business Machines Corporation Self-referenced match-line sense amplifier for content addressable memories
US7443729B2 (en) 2006-07-20 2008-10-28 Sandisk Corporation System that compensates for coupling based on sensing a neighbor using coupling
US7885119B2 (en) 2006-07-20 2011-02-08 Sandisk Corporation Compensating for coupling during programming
US7692466B2 (en) 2006-08-18 2010-04-06 Ati Technologies Ulc Sense amplifier based flip-flop
US7805587B1 (en) 2006-11-01 2010-09-28 Nvidia Corporation Memory addressing controlled by PTE fields
US8151082B2 (en) 2007-12-06 2012-04-03 Fusion-Io, Inc. Apparatus, system, and method for converting a storage request into an append data storage command
US7471536B2 (en) 2006-12-08 2008-12-30 Texas Instruments Incorporated Match mismatch emulation scheme for an addressed location in a CAM
US7460387B2 (en) 2007-01-05 2008-12-02 International Business Machines Corporation eDRAM hierarchical differential sense amp
US7743303B2 (en) 2007-01-22 2010-06-22 Micron Technology, Inc. Defective memory block remapping method and system, and memory device and processor-based system using same
US7945840B2 (en) * 2007-02-12 2011-05-17 Micron Technology, Inc. Memory array error correction apparatus, systems, and methods
US7937535B2 (en) 2007-02-22 2011-05-03 Arm Limited Managing cache coherency in a data processing apparatus
US7804718B2 (en) 2007-03-07 2010-09-28 Mosaid Technologies Incorporated Partial block erase architecture for flash memory
TW200842869A (en) * 2007-04-24 2008-11-01 Nanya Technology Corp Control method for read operation of memory
US7492640B2 (en) 2007-06-07 2009-02-17 Sandisk Corporation Sensing with bit-line lockout control in non-volatile memory
JP2009009665A (ja) 2007-06-29 2009-01-15 Elpida Memory Inc 半導体記憶装置
US7996749B2 (en) 2007-07-03 2011-08-09 Altera Corporation Signal loss detector for high-speed serial interface of a programmable logic device
US7489543B1 (en) 2007-07-25 2009-02-10 Micron Technology, Inc. Programming multilevel cell memory arrays
US7694195B2 (en) 2007-08-14 2010-04-06 Dell Products L.P. System and method for using a memory mapping function to map memory defects
US7869273B2 (en) 2007-09-04 2011-01-11 Sandisk Corporation Reducing the impact of interference during programming
US7787319B2 (en) 2007-09-06 2010-08-31 Innovative Silicon Isi Sa Sense amplifier circuitry for integrated circuit having memory cell array, and method of operating same
US8042082B2 (en) 2007-09-12 2011-10-18 Neal Solomon Three dimensional memory in a system on a chip
US7965564B2 (en) 2007-09-18 2011-06-21 Zikbit Ltd. Processor arrays made of standard memory cells
US7663928B2 (en) 2007-10-09 2010-02-16 Ememory Technology Inc. Sense amplifier circuit having current mirror architecture
US8156299B2 (en) 2007-10-19 2012-04-10 Virident Systems Inc. Managing memory systems containing components with asymmetric characteristics
US7924628B2 (en) 2007-11-14 2011-04-12 Spansion Israel Ltd Operation of a non-volatile memory array
US7979667B2 (en) 2007-12-10 2011-07-12 Spansion Llc Memory array search engine
US7755960B2 (en) 2007-12-17 2010-07-13 Stmicroelectronics Sa Memory including a performance test circuit
US8495438B2 (en) 2007-12-28 2013-07-23 Texas Instruments Incorporated Technique for memory imprint reliability improvement
US7808854B2 (en) 2008-02-19 2010-10-05 Kabushiki Kaisha Toshiba Systems and methods for data transfers between memory cells
JP5194302B2 (ja) 2008-02-20 2013-05-08 ルネサスエレクトロニクス株式会社 半導体信号処理装置
US8332580B2 (en) 2008-04-02 2012-12-11 Zikbit Ltd. System, method and apparatus for memory with embedded associative section for computations
US20090254694A1 (en) 2008-04-02 2009-10-08 Zikbit Ltd. Memory device with integrated parallel processing
US7957206B2 (en) 2008-04-04 2011-06-07 Micron Technology, Inc. Read circuitry for an integrated circuit having memory cells and/or a memory cell array, and method of operating same
US7773441B2 (en) * 2008-06-18 2010-08-10 Micron Technology, Inc. Memory malfunction prediction system and method
US8339824B2 (en) 2008-07-02 2012-12-25 Cooke Laurence H Nearest neighbor serial content addressable memory
US8417921B2 (en) 2008-08-15 2013-04-09 Apple Inc. Running-min and running-max instructions for processing vectors using a base value from a key element of an input vector
US8555037B2 (en) 2008-08-15 2013-10-08 Apple Inc. Processing vectors using wrapping minima and maxima instructions in the macroscalar architecture
US8259509B2 (en) 2008-08-18 2012-09-04 Elpida Memory, Inc. Semiconductor memory device and method with auxiliary I/O line assist circuit and functionality
ITRM20080543A1 (it) 2008-10-09 2010-04-10 Micron Technology Inc Architettura e metodo per la programmazione di memorie.
US8296626B2 (en) 2008-11-07 2012-10-23 Spansion Llc Error correction for flash memory
US20100162065A1 (en) * 2008-12-19 2010-06-24 Unity Semiconductor Corporation Protecting integrity of data in multi-layered memory with data redundancy
KR101596283B1 (ko) 2008-12-19 2016-02-23 삼성전자 주식회사 개선된 로컬 입출력라인 프리차아지 스킴을 갖는 반도체 메모리 장치
KR101622922B1 (ko) 2009-03-06 2016-05-20 삼성전자 주식회사 개선된 로컬 입출력라인 프리차아지 스킴을 갖는 반도체 메모리 장치
US8484276B2 (en) 2009-03-18 2013-07-09 International Business Machines Corporation Processing array data on SIMD multi-core processor architectures
KR20100134235A (ko) 2009-06-15 2010-12-23 삼성전자주식회사 반도체 메모리 장치
US7898864B2 (en) 2009-06-24 2011-03-01 Sandisk Corporation Read operation for memory with compensation for coupling based on write-erase cycles
US8412985B1 (en) 2009-06-30 2013-04-02 Micron Technology, Inc. Hardwired remapped memory
US8412987B2 (en) 2009-06-30 2013-04-02 Micron Technology, Inc. Non-volatile memory to store memory remap information
US9076527B2 (en) 2009-07-16 2015-07-07 Mikamonu Group Ltd. Charge sharing in a TCAM array
US8238173B2 (en) 2009-07-16 2012-08-07 Zikbit Ltd Using storage cells to perform computation
JP4951041B2 (ja) 2009-08-06 2012-06-13 株式会社東芝 半導体記憶装置
WO2011022199A2 (en) 2009-08-18 2011-02-24 Dow Corning Corporation Multi-layer transdermal patch
WO2011022114A1 (en) 2009-08-20 2011-02-24 Rambus Inc. Atomic memory device
US8077515B2 (en) * 2009-08-25 2011-12-13 Micron Technology, Inc. Methods, devices, and systems for dealing with threshold voltage change in memory devices
US8059438B2 (en) 2009-08-28 2011-11-15 International Business Machines Corporation Content addressable memory array programmed to perform logic operations
US8077532B2 (en) 2009-09-02 2011-12-13 Micron Technology, Inc. Small unit internal verify read in a memory device
US8482975B2 (en) 2009-09-14 2013-07-09 Micron Technology, Inc. Memory kink checking
US8495465B1 (en) 2009-10-15 2013-07-23 Apple Inc. Error correction coding over multiple memory pages
US9477636B2 (en) 2009-10-21 2016-10-25 Micron Technology, Inc. Memory having internal processors and data communication methods in memory
US20120246380A1 (en) 2009-10-21 2012-09-27 Avidan Akerib Neighborhood operations for parallel processing
US8650232B2 (en) 2009-10-26 2014-02-11 Via Technologies, Inc. System and method for determination of a horizontal minimum of digital values
KR101634340B1 (ko) 2009-11-03 2016-06-28 삼성전자주식회사 반도체 메모리 장치의 프로그램 방법
US8583896B2 (en) 2009-11-13 2013-11-12 Nec Laboratories America, Inc. Massively parallel processing core with plural chains of processing elements and respective smart memory storing select data received from each chain
KR20110054773A (ko) 2009-11-18 2011-05-25 삼성전자주식회사 비트라인 디스털번스를 개선하는 반도체 메모리 장치
US8089815B2 (en) 2009-11-24 2012-01-03 Sandisk Technologies Inc. Programming memory with bit line floating to reduce channel-to-floating gate coupling
US8605015B2 (en) 2009-12-23 2013-12-10 Syndiant, Inc. Spatial light modulator with masking-comparators
JP2011146102A (ja) 2010-01-15 2011-07-28 Elpida Memory Inc 半導体装置及びデータ処理システム
CN102141905B (zh) 2010-01-29 2015-02-25 上海芯豪微电子有限公司 一种处理器体系结构
US8164942B2 (en) 2010-02-01 2012-04-24 International Business Machines Corporation High performance eDRAM sense amplifier
US8533245B1 (en) 2010-03-03 2013-09-10 Altera Corporation Multipliers with a reduced number of memory blocks
EP2564306A4 (en) 2010-04-27 2017-04-26 Cornell University System and methods for mapping and searching objects in multidimensional space
KR101119371B1 (ko) 2010-04-29 2012-03-06 주식회사 하이닉스반도체 반도체 메모리 장치 및 이의 동작 방법
US8559232B2 (en) 2010-05-03 2013-10-15 Aplus Flash Technology, Inc. DRAM-like NVM memory array and sense amplifier design for high temperature and high endurance operation
US8351278B2 (en) 2010-06-23 2013-01-08 International Business Machines Corporation Jam latch for latching memory array output data
KR101143471B1 (ko) 2010-07-02 2012-05-11 에스케이하이닉스 주식회사 센스앰프 및 이를 포함하는 반도체 장치
US20120017039A1 (en) 2010-07-16 2012-01-19 Plx Technology, Inc. Caching using virtual memory
US8462532B1 (en) 2010-08-31 2013-06-11 Netlogic Microsystems, Inc. Fast quaternary content addressable memory cell
US8347154B2 (en) 2010-09-21 2013-01-01 International Business Machines Corporation Use of hashing function to distinguish random and repeat errors in a memory system
US8904115B2 (en) 2010-09-28 2014-12-02 Texas Instruments Incorporated Cache with multiple access pipelines
US8332367B2 (en) 2010-10-20 2012-12-11 International Business Machines Corporation Parallel data redundancy removal
KR101148352B1 (ko) 2010-11-02 2012-05-21 에스케이하이닉스 주식회사 반도체 메모리 장치 및 그의 동작 방법
JP5528987B2 (ja) 2010-11-11 2014-06-25 ピーエスフォー ルクスコ エスエイアールエル 半導体装置
US8553482B2 (en) 2010-11-29 2013-10-08 Apple Inc. Sense amplifier and sense amplifier latch having common control
US8560892B2 (en) 2010-12-14 2013-10-15 Medtronic, Inc. Memory with selectively writable error correction codes and validity bits
US8522126B1 (en) * 2010-12-22 2013-08-27 Lattice Semiconductor Corporation Blocking memory readback in a programmable logic device
WO2012104674A1 (en) 2011-01-31 2012-08-09 Freescale Semiconductor, Inc. Integrated circuit device and method for determining an index of an extreme value within an array of values
KR20120088973A (ko) 2011-02-01 2012-08-09 삼성전자주식회사 로컬 센스앰프 회로 및 이를 포함하는 반도체 메모리 장치
JP2012174016A (ja) 2011-02-22 2012-09-10 Renesas Electronics Corp データ処理装置およびそのデータ処理方法
JP5259765B2 (ja) 2011-03-29 2013-08-07 株式会社東芝 不揮発性半導体メモリ
US8725730B2 (en) 2011-05-23 2014-05-13 Hewlett-Packard Development Company, L.P. Responding to a query in a data processing system
US8739012B2 (en) * 2011-06-15 2014-05-27 Texas Instruments Incorporated Co-hosted cyclical redundancy check calculation
US8681547B2 (en) * 2011-08-23 2014-03-25 Micron Technology, Inc. Memory cell coupling compensation
US8706958B2 (en) 2011-09-01 2014-04-22 Thomas Hein Data mask encoding in data bit inversion scheme
JP2013073663A (ja) * 2011-09-29 2013-04-22 Elpida Memory Inc 半導体装置
GB2510286B (en) 2011-10-28 2015-08-19 Hewlett Packard Development Co Row shifting shiftable memory
US8891297B2 (en) 2011-11-01 2014-11-18 Micron Technology, Inc. Memory cell sensing
US9830158B2 (en) 2011-11-04 2017-11-28 Nvidia Corporation Speculative execution and rollback
KR101321481B1 (ko) 2011-11-04 2013-10-28 에스케이하이닉스 주식회사 반도체 메모리 장치 및 이를 위한 테스트 회로
KR20130052971A (ko) 2011-11-14 2013-05-23 삼성전자주식회사 비휘발성 메모리 장치의 동작 방법
GB2511957B (en) 2011-11-22 2015-02-11 Mips Tech Inc Processor with kernel mode access to user space virtual addresses
CN103959237B (zh) 2011-11-30 2016-09-28 英特尔公司 用于提供向量横向比较功能的指令和逻辑
CN104011657B (zh) 2011-12-22 2016-10-12 英特尔公司 用于向量计算和累计的装置和方法
KR20130072869A (ko) 2011-12-22 2013-07-02 에스케이하이닉스 주식회사 프리차지 회로 및 비휘발성 메모리 장치
US20130286705A1 (en) 2012-04-26 2013-10-31 David B. Grover Low power content addressable memory hitline precharge and sensing circuit
US8938603B2 (en) 2012-05-31 2015-01-20 Samsung Electronics Co., Ltd. Cache system optimized for cache miss detection
US20130332707A1 (en) 2012-06-07 2013-12-12 Intel Corporation Speed up big-number multiplication using single instruction multiple data (simd) architectures
KR102062301B1 (ko) 2013-01-03 2020-01-03 삼성전자주식회사 메모리 장치의 페이지 복사 방법 및 메모리 시스템의 페이지 관리 방법
US20140215185A1 (en) 2013-01-29 2014-07-31 Atmel Norway Fetching instructions of a loop routine
US9158667B2 (en) 2013-03-04 2015-10-13 Micron Technology, Inc. Apparatuses and methods for performing logical operations using sensing circuitry
US9171153B2 (en) 2013-05-17 2015-10-27 Hewlett-Packard Development Company, L.P. Bloom filter with memory element
US8964496B2 (en) 2013-07-26 2015-02-24 Micron Technology, Inc. Apparatuses and methods for performing compare operations using sensing circuitry
US8971124B1 (en) 2013-08-08 2015-03-03 Micron Technology, Inc. Apparatuses and methods for performing logical operations using sensing circuitry
US9153305B2 (en) 2013-08-30 2015-10-06 Micron Technology, Inc. Independently addressable memory array address spaces
JP2015053096A (ja) * 2013-09-09 2015-03-19 マイクロン テクノロジー, インク. 半導体装置、及び誤り訂正方法
US9019785B2 (en) 2013-09-19 2015-04-28 Micron Technology, Inc. Data shifting via a number of isolation devices
US9449675B2 (en) 2013-10-31 2016-09-20 Micron Technology, Inc. Apparatuses and methods for identifying an extremum value stored in an array of memory cells
US9430191B2 (en) 2013-11-08 2016-08-30 Micron Technology, Inc. Division operations for memory
US20150270015A1 (en) 2014-03-19 2015-09-24 Micron Technology, Inc. Memory mapping
US9934856B2 (en) 2014-03-31 2018-04-03 Micron Technology, Inc. Apparatuses and methods for comparing data patterns in memory
WO2015171914A1 (en) 2014-05-08 2015-11-12 Micron Technology, Inc. Hybrid memory cube system interconnect directory-based cache coherence methodology
KR101887797B1 (ko) 2014-05-08 2018-09-10 마이크론 테크놀로지, 인크. 메모리 내 가벼운 일관성
US10074407B2 (en) 2014-06-05 2018-09-11 Micron Technology, Inc. Apparatuses and methods for performing invert operations using sensing circuitry
US9910787B2 (en) 2014-06-05 2018-03-06 Micron Technology, Inc. Virtual address table
US9704540B2 (en) 2014-06-05 2017-07-11 Micron Technology, Inc. Apparatuses and methods for parity determination using sensing circuitry
US9711206B2 (en) 2014-06-05 2017-07-18 Micron Technology, Inc. Performing logical operations using sensing circuitry
US9496023B2 (en) 2014-06-05 2016-11-15 Micron Technology, Inc. Comparison operations on logical representations of values in memory
US9786335B2 (en) 2014-06-05 2017-10-10 Micron Technology, Inc. Apparatuses and methods for performing logical operations using sensing circuitry
US9449674B2 (en) 2014-06-05 2016-09-20 Micron Technology, Inc. Performing logical operations using sensing circuitry
US9711207B2 (en) 2014-06-05 2017-07-18 Micron Technology, Inc. Performing logical operations using sensing circuitry
US9455020B2 (en) 2014-06-05 2016-09-27 Micron Technology, Inc. Apparatuses and methods for performing an exclusive or operation using sensing circuitry
US9779019B2 (en) 2014-06-05 2017-10-03 Micron Technology, Inc. Data storage layout
US9830999B2 (en) 2014-06-05 2017-11-28 Micron Technology, Inc. Comparison operations in memory
US9952925B2 (en) * 2016-01-06 2018-04-24 Micron Technology, Inc. Error code calculation on sensing circuitry

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1902583A (zh) * 2003-12-31 2007-01-24 桑迪士克股份有限公司 快闪存储器系统起动操作
CN101097784A (zh) * 2006-06-30 2008-01-02 英特尔公司 改善存储装置的可靠性、可用性及可维修性
CN101958146A (zh) * 2009-07-15 2011-01-26 旺宏电子股份有限公司 一种存储器装置及其操作方法
CN105183579A (zh) * 2015-09-24 2015-12-23 联想(北京)有限公司 一种数据校验方法及装置、电子设备

Also Published As

Publication number Publication date
TW201734786A (zh) 2017-10-01
US20180239672A1 (en) 2018-08-23
US11593200B2 (en) 2023-02-28
US20170192844A1 (en) 2017-07-06
CN108475226A (zh) 2018-08-31
EP3400529A1 (en) 2018-11-14
EP3400529A4 (en) 2019-08-21
US9952925B2 (en) 2018-04-24
EP3859530A1 (en) 2021-08-04
US20220283898A1 (en) 2022-09-08
WO2017120273A1 (en) 2017-07-13
US10423486B2 (en) 2019-09-24
CN113674780B (zh) 2023-09-01
EP3400529B1 (en) 2021-04-28
US10152374B2 (en) 2018-12-11
TWI615707B (zh) 2018-02-21
US20190108094A1 (en) 2019-04-11
US20200012562A1 (en) 2020-01-09
US11340983B2 (en) 2022-05-24
US20210200636A1 (en) 2021-07-01
EP3859530B1 (en) 2022-08-03
US10949299B2 (en) 2021-03-16
CN113674780A (zh) 2021-11-19

Similar Documents

Publication Publication Date Title
CN108475226B (zh) 感测电路上的错误码计算
US10725952B2 (en) Accessing status information
CN109427395B (zh) 用于存储器内操作的设备和方法
CN111052099B (zh) 存储器内处理
CN107408404B (zh) 用于存储器装置的设备及方法以作为程序指令的存储
US11842191B2 (en) Apparatus and methods related to microcode instructions indicating instruction types
CN107683505B (zh) 用于计算启用的高速缓冲存储器的设备及方法
CN107408405B (zh) 用于并行写入到多个存储器装置位置的设备及方法
CN110574108B (zh) 存取存储器中的数据
CN109003635B (zh) 用于数据复制的设备及方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant