CN108257871A - 半导体器件及其制造方法 - Google Patents

半导体器件及其制造方法 Download PDF

Info

Publication number
CN108257871A
CN108257871A CN201710979479.1A CN201710979479A CN108257871A CN 108257871 A CN108257871 A CN 108257871A CN 201710979479 A CN201710979479 A CN 201710979479A CN 108257871 A CN108257871 A CN 108257871A
Authority
CN
China
Prior art keywords
layer
source
drain extensions
drain
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710979479.1A
Other languages
English (en)
Other versions
CN108257871B (zh
Inventor
李振铭
杨复凯
王美匀
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN108257871A publication Critical patent/CN108257871A/zh
Application granted granted Critical
Publication of CN108257871B publication Critical patent/CN108257871B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66515Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned selective metal deposition simultaneously on the gate and on source or drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

在制造半导体器件的方法中,在下面的结构上方形成层间介电(ILD)层。下面的结构包括栅极结构(每个均具有金属栅极和设置在金属栅极上方的覆盖绝缘层)、设置在两个邻近的栅极结构之间的源极/漏极外延层以及覆盖源极/漏极外延层的蚀刻停止层(ESL)。通过蚀刻在ILD层中形成开口。在开口中形成介电填充层。通过使用湿蚀刻,去除设置在源极/漏极外延层之上的ILD层。去除设置在源极/漏极外延层上的ESL,从而至少部分地暴露源极/漏极外延层。在暴露的源极/漏极外延层上方形成导电材料。本发明的实施例还涉及半导体器件。

Description

半导体器件及其制造方法
技术领域
本发明涉及用于制造半导体器件的方法,并且更具体地,涉及用于栅极、源极/漏极区域和/或衬底上方的导电层的结构和制造方法。
背景技术
随着半导体器件尺寸的减小,导电层之间的分离或绝缘变得更加重要,同时降低电阻(例如,接触电阻)变得更加重要。
发明内容
本发明的实施例提供了一种制造半导体器件的方法,所述方法包括:在下面的结构上方形成层间介电(ILD)层,所述下面的结构包括:栅极结构,每个均具有金属栅极和设置在所述金属栅极上方的覆盖绝缘层;源极/漏极外延层,设置在两个邻近的所述栅极结构之间;和蚀刻停止层(ESL),覆盖所述源极/漏极外延层;通过蚀刻在所述层间介电层中形成开口;在所述开口中形成介电填充层;通过使用湿蚀刻,去除设置在所述源极/漏极外延层之上的所述层间介电层;去除设置在所述源极/漏极外延层上的所述蚀刻停止层,从而至少部分地暴露所述源极/漏极外延层;以及在暴露的源极/漏极外延层上方形成导电材料。
本发明的另一实施例提供了一种制造半导体器件的方法,所述方法包括:在下面的结构上方形成层间介电(ILD)层,所述下面的结构包括:第一栅极结构至第四栅极结构,每个均具有金属栅极、设置在所述金属栅极的相对侧上的侧壁间隔件以及设置在所述金属栅极上方的覆盖绝缘层,所述第一栅极结构至所述第四栅极结构沿着第一方向以这种顺序布置;第一源极/漏极(S/D)外延层和第二源极/漏极外延层,两个均设置在第二栅极结构和第三栅极结构之间;和蚀刻停止层(ESL),覆盖所述第一源极/漏极外延层和所述第二源极/漏极外延层;通过蚀刻在包括所述第一源极/漏极外延层和所述第二源极/漏极外延层之间的区域的区上方的所述层间介电层中形成第一开口;在所述第一开口中形成介电填充层;通过使用湿蚀刻,去除设置在所述第一源极/漏极外延层和所述第二源极/漏极外延层之上的所述层间介电层,从而分别形成第二开口和第三开口,并且去除设置在所述第一栅极结构和所述第二栅极结构之间的所述层间介电层,从而形成第四开口;去除设置在所述第一源极/漏极外延层和所述第二源极/漏极外延层上的所述蚀刻停止层,从而至少部分地暴露所述第一源极/漏极外延层和所述第二源极/漏极外延层;以及在暴露的所述第一源极/漏极外延层和所述第二源极/漏极外延层上方形成导电材料,从而在所述第一源极/漏极外延层上形成第一源极/漏极接触件并且在所述第二源极/漏极外延层上形成第二源极/漏极接触件,并且从而在所述第四开口中形成接触条。
本发明的又一实施例提供了一种半导体器件,包括:隔离绝缘层;鳍结构,突出于所述隔离绝缘层;栅极结构,每个均具有金属栅极和设置在所述金属栅极上方的覆盖绝缘层;第一源极/漏极外延层和第二源极/漏极外延层,设置在两个邻近的所述栅极结构之间;第一导电接触件和第二导电接触件,所述第一导电接触件设置在所述第一源极/漏极外延层上,并且所述第二导电接触件设置在所述第二源极/漏极外延层上;分离隔离区域,设置在所述第一导电接触件和所述第二导电接触件之间;以及绝缘层,设置在所述分离隔离区域和所述隔离绝缘层之间,其中,所述分离隔离区域由与所述绝缘层不同的材料制成。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该强调,根据工业中的标准实践,各个部件未按比例绘制并且仅用于说明的目的。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1A示出了根据本发明的实施例的半导体器件的平面图,图1B示出了根据本发明的实施例的半导体器件的栅极结构的截面图,并且图1C示出了根据本发明的实施例的半导体器件的立体图。
图2A和图2B分别示出了根据本发明的实施例的用于半导体器件的顺序制造操作的各个阶段的一个。
图3A和图3B分别示出了根据本发明的实施例的用于半导体器件的顺序制造操作的各个阶段的一个。
图4A、图4B、图4C、图4D和图4E分别示出了根据本发明的实施例的用于半导体器件的顺序制造操作的各个阶段的一个。
图5A和图5B分别示出了根据本发明的实施例的用于半导体器件的顺序制造操作的各个阶段的一个。
图6A和图6B分别示出了根据本发明的实施例的用于半导体器件的顺序制造操作的各个阶段的一个。
图7A、图7B、图7C、图7D和图7E分别示出了根据本发明的实施例的用于半导体器件的顺序制造操作的各个阶段的一个。图7F和图7G分别示出了根据本发明的其它实施例的半导体器件的截面图。
具体实施方式
以下公开内容提供了许多用于实现本发明的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,元件的尺寸不限于公开的范围或值,但是可能依赖于工艺条件和/或器件所需的性能。此外,以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。为了简单和清楚的目的,各个部件可以以不同的比例任意地绘制。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)原件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),而本文使用的空间相对描述符可以同样地作出相应的解释。此外,术语“由…制成”可能意味着“包括”或者“由…组成”。
由于栅极至栅极间隔(<10nm)变得越来越小,因此位于两个源极/漏极(S/D)外延层之间的层间介电(ILD)层的蚀刻变成超过5nm节点的关键工艺。干蚀刻工艺中的自对准接触(SAC)工艺使用聚合物以增加ILD层(例如,SiO2)与金属栅极(MG)的覆盖绝缘层(例如,Si3N4)的蚀刻选择性。在ILD层的蚀刻穿过能力以及MG覆盖层和侧壁间隔件的损失之间存在折衷。当ILD蚀刻穿过能力增加时,MG覆盖层和侧壁间隔件的蚀刻量增加,并且去除了MG覆盖层和侧壁间隔件的部分。在本实施例中,提供穿过ILD层形成接触件时增加蚀刻选择性的操作和结构。
图1A示出了根据本发明的实施例的半导体器件的平面图,图1B是根据本发明的实施例的对应于图1A的线X2-X2的半导体器件的栅极结构的截面图并且图1C是根据本发明的实施例的半导体器件的立体图。
图1A至图1C示出了在形成金属栅极结构和第一层间介电(ILD)层之后的半导体鳍式场效应晶体管(FinFET)器件的结构。由例如Si制成的鳍结构5设置在X方向上并且在X方向上延伸。金属栅极结构10在Y方向上延伸并且源极/漏极(S/D)外延层50设置在邻近的金属栅极之间。在图1A中,在没有S/D外延层50的金属栅极结构10之间暴露一些鳍结构,但是本实施例不限于这种配置。
在一个或多个沟道层(例如,鳍结构5的一部分)上方形成金属栅极结构10。鳍结构5设置在衬底上方并且突出于隔离绝缘层(例如,浅沟槽隔离(STI))15(见图2A)。在图1A中,沿着Y方向设置四个鳍结构5并且沿着X方向设置四个金属栅极结构10。然而,鳍/栅极结构的数量不限于四个。
在金属栅极结构10的侧壁上提供侧壁间隔件30。在一些实施例中,侧壁间隔件的底部处的侧壁间隔件30的膜厚度在从约3nm至约15nm的范围内,并且在其它实施例中,在从约4nm至约10nm的范围内。金属栅极结构10和侧壁间隔件30的组合可以统称为栅极结构。此外,S/D外延区域50形成为邻近于栅极结构。
用第一层间介电(ILD)层40(见图2A和图2B)填充栅极结构之间的间隔。在本发明中,源极/漏极可互换使用并且基本没有结构差异。术语“源极/漏极”指的是源极和漏极的一个。
图1B是金属栅极结构的放大的截面图。金属栅极结构10包括金属材料的一层或多层16,金属材料诸如Al、Cu、W、Ti、Ta、TiN、TiAl、TiAlC、TiAlN、TaN、NiSi、CoSi或任何其它合适的导电材料。设置在沟道层5和金属栅极结构之间的栅极介电层12包括诸如高k金属氧化物的金属氧化物的一层或多层。用于高k电介质的金属氧化物的实例包括Li、Be、Mg、Ca、Sr、Sc、Y、Zr、Hf、Al、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu的氧化物和/或它们的混合物或任何其它合适的介电材料。在一些实施例中,在沟道层5和高k栅极介电层12之间形成由SiO2(具有1-3nm厚度)制成的界面层。
在一些实施例中,一个或多个功函调整层14插入在栅极介电层12和金属材料16之间。功函调整层14由诸如TiN、TaN、TaAlC、TiC、TaC、Co、Al、TiAl、HfTi、TiSi、TaSi或TiAlC的单层或这些材料的两种或多种的多层的导电材料或任何其它合适的导电材料制成。对于n沟道FET,TaN、TaAlC、TiN、TiC、Co、TiAl、HfTi、TiSi和TaSi的一种或多种或任何其它合适的导电材料用作功函调整层,并且对于P沟道FET,TiAlC、Al、TiAl、TaN、TaAlC、TiN、TiC和Co的一种或多种或任何其它合适的导电材料用作功函调整层。
在本实施例中,采用由栅极替换工艺制造的鳍式场效应晶体管(FinFET)。然而,本文公开的技术可以应用于其它电子器件,诸如平面FET、全环栅FET、多栅极FET、电容器、二极管和电阻器。
图1C示出了根据本发明的实施例的FinFET结构的立体图。FinFET结构可以以下操作制造。
首先,在衬底300上方制造鳍结构310。可以通过任何合适的方法图案化鳍结构。例如,可以使用一种或多种光刻工艺(包括双重图案化或多重图案化工艺)图案化鳍结构。通常,双重图案化或多重图案化工艺结合光刻和自对准工艺,允许创建具有例如小于使用单个直接的光刻工艺可获得的间距的图案。例如,在一个实施例中,在衬底上方形成牺牲层并且使用光刻工艺图案化。使用自对准工艺在图案化的牺牲层旁边形成间隔件。之后去除牺牲层,并且之后剩余的间隔件或芯轴可以用于图案化鳍结构。
鳍结构包括底部区域和用作沟道区域315的上部区域。衬底是例如具有在从约1×1015cm-3至约1×1018cm-3的范围内的杂质浓度的p型硅衬底。在其它实施例中,该衬底是具有在从约1×1015cm-3至约1×1018cm-3的范围内的杂质浓度的n型硅衬底。可选地,该衬底可以包括另一元素半导体,诸如锗;化合物半导体,包括诸如SiC和SiGe的IV-IV族化合物半导体、诸如GaAs、GaP、GaN、InP、InAs、InSb、GaAsP、AlGaN、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP的III-V族化合物半导体,或任何其它合适的半导体材料;或它们的组合。在一个实施例中,该衬底是SOI(绝缘体上硅)衬底的硅层。
在形成鳍结构310之后,在鳍结构310上方形成隔离绝缘层320。隔离绝缘层320包括通过LPCVD、等离子体CVD或可流动CVD形成的诸如氧化硅、氮氧化硅或氮化硅的绝缘材料的一层或多层。可以由旋涂玻璃(SOG)、SiO、SiON、SiOCN和/或氟掺杂的硅酸盐玻璃(FSG)或任何其它合适的介电材料的一层或多层形成隔离绝缘层。
在鳍结构上方形成隔离绝缘层320之后,实施平坦化操作以去除隔离绝缘层320的一部分。平坦化操作可以包括化学机械抛光(CMP)和/或回蚀刻工艺。之后,进一步去除(凹进)隔离绝缘层320从而暴露鳍结构的上部区域。
在暴露的鳍结构上方形成伪栅极结构。伪栅极结构包括由多晶硅制成的伪栅电极层和伪栅极介电层。包括绝缘材料的一层或多层的侧壁间隔件350也形成在伪栅电极层的侧壁上。在形成伪栅极结构之后,使未由伪栅极结构覆盖的鳍结构310凹进至隔离绝缘层320的上表面之下。之后,通过使用外延生长方法在凹进的鳍结构上方形成源极/漏极区域360。源极/漏极区域可以包括应变材料以对沟道区域315施加应力。
之后,在伪栅极结构和源极/漏极区域上方形成层间介电层(ILD)370。ILD 370包括氧化硅、SiOC、SiOCN或SiCN或其它低k材料或多孔材料或任何其它合适的介电材料的一层或多层。在平坦化操作之后,去除伪栅极结构以制成栅极间隔。之后,在栅极间隔中,形成包括金属栅电极和栅极介电层(诸如高k介电层)的金属栅极结构330。
此外,在一些实施例中,在金属栅极结构330上方形成覆盖隔离层340,以获得图1C所示的FinFET结构。
在图1C中,切割金属栅极结构330、覆盖隔离层340、侧壁间隔件350和ILD 370的一部分以显示下面的结构。在一些实施例中,邻近的源极/漏极外延区域360彼此合并,并且在合并的源极/漏极区域上形成硅化物层。
图1C的金属栅极结构330、覆盖隔离层340、侧壁间隔件350、源极/漏极360和ILD370分别基本对应于图1A、图1B和图2A的金属栅极结构10、覆盖绝缘层20(见图2A)、侧壁间隔件30、源极/漏极区域50和第一层间介电层(ILD)40。
图2A至图7E示出了根据本发明的一个实施例的顺序半导体器件制造工艺的各个阶段。在图2A至图7E中,“A”图(图2A、图3A…)示出了对应于图1A的线X1-X1的截面图,并且“B”图(图2B、图3B…)示出了对应于图1A的线Y1-Y1的截面图。应该理解,可以在图2A至图7E所示的工艺之前、期间和之后提供额外的操作,并且对于方法的额外实施例,可以替换或消除一下描述的一些操作。操作/工艺的顺序可以互换。
在图2A和图2B中,覆盖绝缘层20分别设置在金属栅极结构10上方。
在一些实施例中,从高k介电层12的上表面至覆盖绝缘层20的底部的金属栅极结构10的厚度在从约15nm至50nm的范围内。在一些实施例中,覆盖绝缘层20的厚度在从约15nm至约30nm的范围内,并且在其它实施例中,在从约15nm至约20nm的范围内。在一些实施例中,覆盖绝缘层20设置在侧壁间隔件30上方,并且在其它实施例中,侧壁间隔件30设置在覆盖绝缘层20的侧壁上。
此外,如图2A和图2B所示,在形成ILD层40之前,在栅极结构和S/D外延区域50上方形成底部接触蚀刻停止层(B-CESL)33。在一些实施例中,B-CESL 33的膜厚度在从约1nm至约20nm的范围内。
覆盖绝缘层20包括诸如硅氮化物基材料(包括SiN、SiON、SiCN和SiOCN)或任何其它合适的介电材料的绝缘材料的一层或多层。侧壁间隔件30由与覆盖绝缘层20不同的材料制成并且包括诸如硅氮化物基材料(包括SiN、SiON、SiCN和SiOCN)或任何其它合适的介电材料的绝缘材料的一层或多层。B-CESL 33由与覆盖绝缘层20和侧壁间隔件30不同的材料制成,并且包括诸如硅氮化物基材料(包括SiN、SiON、SiCN和SiOCN)或任何其它合适的介电材料的绝缘材料的一层或多层。第一ILD层40包括氧化硅、SiOC、SiOCN或SiCN或其它低k材料或多孔材料或任何其它合适的介电材料的一层或多层。可以通过LPCVD(低压化学汽相沉积)、等离子体CVD、可流动CVD或其它合适的膜形成方法来形成第一ILD层40。
B-CESL 33的材料、侧壁间隔件30的材料、覆盖绝缘层20的材料和第一ILD层40的材料可以彼此不同,从而可以选择性地蚀刻这些层的每个。在一些实施例中,B-CESL 33由SiN或SiCN制成;侧壁间隔件30由SiO2、SiOCN、SiCN或SiON制成;覆盖绝缘层20由SiN或SiON制成;并且第一ILD层40由SiO2制成。
随后,如图3A和图3B所示,在图2A和图2B的结构上方形成掩模层60。掩模层60可以是单层或多层,并且可以包括光刻胶层、介电层、导电层、金属层和/或多晶或非晶层(例如,多晶/非晶Si)。在一些实施例中,掩模层60包括下介电层62、中层64和上介电层66。在某些实施例中,下层62是氧化硅层,中层64是TiN层并且上层66是氧化硅层。可以通过CVD、包括溅射的物理汽相沉积(PVD)或原子层沉积(ALD)或任何其它合适的膜形成方法来形成掩模层60的每层。
之后,如图4A和图4B所示,通过使用光刻和蚀刻操作,图案化上层66,并且通过使用图案化的上层66,图案化中层64。此外,通过使用图案化的中层64作为蚀刻掩模,图案化下层62和ILD层40,从而形成开口65。开口65在X方向上的宽度W1等于或大于邻近的金属栅极结构10之间的距离。开口65在Y方向上的宽度W2等于或小于邻近的S/D外延区域50之间的距离。
图4C至图4E是示出通过光刻操作制成开口65的掩模开口图案67的平面图。在图4C中,图4C基本对应于用图2A至图7E说明的实施例,三个掩模开口图案67分别设置为与S/D外延区域50和金属栅极结构10部分地重叠。在其它实施例中,如图4D所示,掩模开口图案67’设置为与邻近的栅极结构10和邻近的S/D外延区域50之间的两个间隔重叠。在其它实施例中,如图4E所示,掩模开口图案67”也设置为覆盖未在鳍结构5上形成S/D外延区域的区。在一些实施例中,可以一起使用多于一个开口图案67、67’和/或67”。
在ILD层40的蚀刻期间,也蚀刻了覆盖绝缘层20的上和/或侧部,在开口65的顶部附近产生在Z方向上延伸的V形状的开口。在一些实施例中,也部分地蚀刻了侧壁间隔件30和/或B-CESL 33。
在一些实施例中,如图4B所示,ILD层40的蚀刻基本停止在覆盖S/D外延层50的B-CESL 33处。具体地,当邻近的S/D外延层50之间的间隔较小时,蚀刻停止在B-CESL 33处。在这种情况下,ILD层40的部分保留在开口65的底部处。在一些实施例中,当邻近的S/D外延层50之间的间隔较大时,持续蚀刻并且停止在设置在隔离绝缘层15上的B-CESL 33处。在其它实施例中,没有ILD层40保留在随后形成的介电填充层70和隔离绝缘层15之间。
之后,图5A和图5B所示,在开口65中形成介电填充层70。介电填充层70由例如在稀释的HF湿蚀刻中对SiO2具有高蚀刻选择性(大于约10或约100)的SiN或SiCN制成。
可以通过CVD、包括溅射的PVD或ALD或任何其它合适的膜形成方法来形成介电填充层70。实施诸如化学机械抛光(CMP)的平坦化操作以去除位于ILD 40上方的过量的材料。如图5A和图5B所示,介电填充层70弥补了蚀刻的覆盖绝缘层20。如果在ILD蚀刻中也蚀刻了侧壁间隔件30和/或B-CESL 33,则介电填充层70可以弥补蚀刻的侧壁间隔件30和/或B-CESL 33。
如图5B所示,如上所述,当邻近的S/D外延层50之间的间隔较小时,则ILD层的部分41保留在介电填充层70的底部处。
之后,如图6A和图6B所示,通过使用湿蚀刻去除ILD层40。在一些实施例中,蚀刻溶液是稀释的HF(DHF)。在一些实施例中,DHF中HF的浓度为约1%。与干蚀刻不同,通过DHF湿蚀刻不会形成聚合物。因此,即使在较小的间隔/区中,DHF湿蚀刻也可以去除第一ILD层40。
在本实施例中,ILD层40由SiO2或硅氧化物基材料制成,而覆盖绝缘层20、B-CESL33和介电填充层70由SiN或SiCN制成。SiO2和SiN之间的1wt%-DHF的蚀刻选择性为约10,并且SiO2和SiCN之间的选择性为约100或更多。因此,可以通过DHF湿蚀刻完全地去除ILD层40而没有引起覆盖绝缘层20、B-CESL 33和/或介电填充层70的损坏。
下一步,如图7A至图7E所示,通过干蚀刻和/或湿蚀刻去除设置在S/D外延层50上方的B-CESL 33以形成接触开口,并且之后沉积用于硅化物形成的金属层81。可以通过CVD、PVD或ALD或任何其它合适的膜形成方法来形成金属层81。在形成金属层81之后,实施诸如快速热退火操作的热操作以由S/D外延区域50的组分(例如,Si)和金属层81的金属(例如,W、Ni、Co、Ti和/或Mo)形成硅化物层82。在一些实施例中,因为可以在金属层81的形成期间形成硅化物层,因此没有实施热操作。如图7B所示,在一些实施例中,金属层81保留在介电填充层70的侧部处而没有形成硅化物层。
在形成硅化物层82之后,在接触开口中填充导电材料84,从而形成S/D接触件80。
在一些实施例中,导电材料层84包括粘合(胶)层的毯式层和主体金属层。粘合层包括导电材料的一层或多层。在一些实施例中,粘合层包括在Ti层上形成的TiN层。可以使用任何合适的导电材料。在一些实施例中,TiN和Ti层的每个的厚度均在从约1nm至约5nm的范围内。可以通过CVD、PVD、ALD、电镀或它们的组合或其它合适的膜形成方法来形成粘合层。粘合层用于防止主体金属层剥离。在一些实施例中,没有使用粘合层并且直接在接触开口中形成主体金属层。在这种情况下,主体金属层与硅化物层82直接接触。
在一些实施例中,主体金属层是Co、W、Mo和Cu或任何其它合适的导电材料的一种。在一个实施例中,Cu用作主体金属层。可以通过CVD、PVD、ALD、电镀或它们的组合或其它合适的膜形成方法来形成主体金属层。
在形成导电材料层84之后,实施诸如化学机械抛光(CMP)或回蚀刻操作的平坦化操作,以去除过量的材料。
图7C至图7E示出了分别对应于图4C至图4E的平面图。介电填充层70沿着Y方向分隔开邻近的S/D接触件80。如图7C至图7E所示,介电填充层70在平面图中具有条状、岛状或L形状。在其它实施例中,介电填充层70在平面图中具有T形状或H形状。介电填充层70可以分隔开(物理或电)沿着Y方向布置的一对S/D接触件80,并且也可以覆盖不应形成S/D接触件80的部分。例如,介电填充层70设置在邻近的两个S/D外延层之间的间隔上方和/或其上没有形成S/D外延层的鳍结构上方。
应该理解,图7A至图7E中示出的器件经受进一步CMOS工艺以形成诸如互连金属层、介电层、钝化层等的各个部件。
图7F和图7G示出了根据本发明的其它实施例的半导体器件的截面图。
如上所述,用于形成开口65的蚀刻操作可以停止在不同的层处,并且ILD层40的一部分可以或可以不保留在随后形成的介电填充层70和隔离绝缘层15之间。
例如,在图7F中,ILD层40的一部分42保留在S/D外延层50下方的部分处,而介电填充层70与B-CESL 33直接接触。在图7G中,通过用于制成开口65的蚀刻完全地去除了两个邻近的S/D外延层50之间的ILD层40,并且介电填充层70完全地填充了S/D外延层50下方的部分并且与S/D外延层50和B-CESL 33直接接触。
本文描述的各个实施例或实例提供了超越现有技术的若干优势。例如,通过使用湿蚀刻,可以从诸如具有约10nm或更小直径的深接触孔的较小间隔中去除ILD材料(SiO2)。此外,可以通过介电填充层来修补由金属栅极的覆盖绝缘层的蚀刻引起的损失,可以增加金属栅极周围的电分离(绝缘)。
应该理解,不是所有优势都已经在此处讨论,没有特定的优势对于所述实施例或实例都是需要的,并且其它实施例或实例可以提供不同的优势。
根据本发明的一个方面,在制造半导体器件的方法中,在下面的结构上方形成层间介电(ILD)层。下面的结构包括栅极结构(每个均具有金属栅极和设置在金属栅极上方的覆盖绝缘层)、设置在两个邻近的栅极结构之间的源极/漏极外延层以及覆盖源极/漏极外延层的蚀刻停止层(ESL)。通过蚀刻在ILD层中形成开口。在开口中形成介电填充层。通过使用湿蚀刻,去除设置在源极/漏极外延层之上的ILD层。去除设置在源极/漏极外延层上的ESL,从而至少部分地暴露源极/漏极外延层。在暴露的源极/漏极外延层上方形成导电材料。
在上述方法中,其中,用于所述蚀刻停止层、所述覆盖绝缘层和所述介电填充层的介电材料与用于所述层间介电层的介电材料不同。
在上述方法中,其中,用于所述蚀刻停止层、所述覆盖绝缘层和所述介电填充层的介电材料与用于所述层间介电层的介电材料不同,用于所述蚀刻停止层的介电材料与用于所述介电填充层的介电材料相同。
在上述方法中,其中:所述蚀刻停止层由选自SiN和SiCN组成的组的至少一种制成,所述覆盖绝缘层由选自SiN和SiON组成的组的至少一种制成,所述介电填充层由选自SiN和SiCN组成的组的至少一种制成,以及所述层间介电层由SiO2制成。
在上述方法中,其中:所述蚀刻停止层由选自SiN和SiCN组成的组的至少一种制成,所述覆盖绝缘层由选自SiN和SiON组成的组的至少一种制成,所述介电填充层由选自SiN和SiCN组成的组的至少一种制成,以及所述层间介电层由SiO2制成,所述栅极结构的每个均还包括形成在所述金属栅极的相对侧上的侧壁间隔件,以及所述侧壁间隔件由选自SiCN和SiOCN组成的组的至少一种制成。
在上述方法中,其中,所述湿蚀刻使用稀释的HF。
在上述方法中,其中,在形成所述开口中,部分地去除所述覆盖绝缘层,并且在部分地去除所述覆盖绝缘层的所述覆盖绝缘层的部分上形成所述介电填充层。
在上述方法中,其中,在形成所述开口中,部分地去除所述覆盖绝缘层,并且在部分地去除所述覆盖绝缘层的所述覆盖绝缘层的部分上形成所述介电填充层,所述栅极结构的每个均还包括形成在所述金属栅极的相对侧上的侧壁间隔件,以及在形成所述开口中,部分地去除所述蚀刻停止层和所述侧壁间隔件,并且形成与所述侧壁间隔件接触的所述介电填充层。
在上述方法中,其中,通过使用包括TiN层的硬掩模图案的干蚀刻形成所述开口。
在上述方法中,其中:在形成所述开口之后,所述层间介电层的一部分保留在所述开口下方,以及在保留的层间介电层之上的所述开口中形成所述介电填充层。
在本发明的另一方面,在制造半导体器件的方法中,在下面的结构上方形成层间介电(ILD)层。下面的结构包括第一栅极结构至第四栅极结构,第一栅极结构至第四栅极结构的每个均具有金属栅极、设置在金属栅极的相对侧上的侧壁间隔件以及设置在金属栅极上方的覆盖绝缘层,其中,第一栅极结构至第四栅极结构沿着第一方向以这种顺序布置。下面的结构还包括第一源极/漏极(S/D)外延层和第二S/D外延层(两个均设置在第二栅极结构和第三栅极结构之间)以及覆盖第一S/D外延层和第二S/D外延层的蚀刻停止层(ESL)。通过蚀刻在包括第一S/D外延层和第二S/D外延层之间的区域的区上方的ILD层中形成第一开口。在第一开口中形成介电填充层。通过使用湿蚀刻,去除设置在第一S/D外延层和第二S/D外延层之上的ILD层,从而分别形成第二开口和第三开口,并且去除设置在第一栅极结构和第二栅极结构之间的ILD层,从而形成第四开口。去除设置在第一S/D外延层和第二S/D外延层上的ESL,从而至少部分地暴露第一S/D外延层和第二S/D外延层。在暴露的第一S/D外延层和第二S/D外延层上方形成导电材料,从而在第一S/D外延层上形成第一S/D接触件并且在第二S/D外延层上形成第二S/D接触件,并且从而在第四开口中形成接触条。
在上述方法中,其中,用于所述蚀刻停止层、所述覆盖绝缘层、所述侧壁间隔件和所述介电填充层的介电材料与用于所述层间介电层的介电材料不同。
在上述方法中,其中:所述蚀刻停止层由选自SiN和SiCN组成的组的至少一种制成,所述覆盖绝缘层由选自SiN和SiON组成的组的至少一种制成,所述侧壁间隔件由选自SiCN和SiOCN组成的组的至少一种制成,所述介电填充层由选自SiN和SiCN组成的组的至少一种制成,以及所述层间介电层由SiO2制成。
在上述方法中,其中,所述湿蚀刻使用稀释的HF。
在上述方法中,其中,在形成所述第一开口中,部分地去除所述覆盖绝缘层并且在所述覆盖绝缘层的去除部分上形成所述介电填充层。
在上述方法中,其中,在形成所述第一开口中,部分地去除所述覆盖绝缘层并且在所述覆盖绝缘层的去除部分上形成所述介电填充层,在形成所述第一开口中,部分地去除所述蚀刻停止层与所述第二栅极结构和所述第三栅极结构的侧壁间隔件,并且形成与所述第二栅极结构和所述第三栅极结构的侧壁间隔件接触的所述介电填充层。
17.根据权利要求11所述的方法,其中,通过使用包括TiN层的硬掩模图案的干蚀刻形成所述第一开口。
在上述方法中,其中:在形成所述第一开口之后,所述层间介电层的一部分保留在所述第一开口下方,以及在保留的层间介电层之上的所述第一开口中形成所述介电填充层。
在本发明的另一方面,半导体器件包括:隔离绝缘层;突出于隔离绝缘层的鳍结构;栅极结构,每个均具有金属栅极和设置在金属栅极上方的覆盖绝缘层;设置在两个邻近的栅极结构之间的第一源极/漏极外延层和第二源极/漏极外延层;以及设置在第一源极/漏极外延层上的第一导电接触件和设置在第二源极/漏极外延层上的第二导电接触件;设置在第一导电接触件和第二导电接触件之间的分离隔离区域;以及设置在分离隔离区域和隔离绝缘层之间的绝缘层。分离隔离区域由与绝缘层不同的材料制成。
在上述半导体器件中,其中,所述分离隔离区域由SiN和SiCN的一层或多层制成。
上面概述了若干实施例的特征,使得本领域人员可以更好地理解本发明的方面。本领域人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与本人所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中他们可以做出多种变化、替换以及改变。

Claims (10)

1.一种制造半导体器件的方法,所述方法包括:
在下面的结构上方形成层间介电(ILD)层,所述下面的结构包括:
栅极结构,每个均具有金属栅极和设置在所述金属栅极上方的覆盖绝缘层;
源极/漏极外延层,设置在两个邻近的所述栅极结构之间;和
蚀刻停止层(ESL),覆盖所述源极/漏极外延层;
通过蚀刻在所述层间介电层中形成开口;
在所述开口中形成介电填充层;
通过使用湿蚀刻,去除设置在所述源极/漏极外延层之上的所述层间介电层;
去除设置在所述源极/漏极外延层上的所述蚀刻停止层,从而至少部分地暴露所述源极/漏极外延层;以及
在暴露的源极/漏极外延层上方形成导电材料。
2.根据权利要求1所述的方法,其中,用于所述蚀刻停止层、所述覆盖绝缘层和所述介电填充层的介电材料与用于所述层间介电层的介电材料不同。
3.根据权利要求2所述的方法,其中,用于所述蚀刻停止层的介电材料与用于所述介电填充层的介电材料相同。
4.根据权利要求1所述的方法,其中:
所述蚀刻停止层由选自SiN和SiCN组成的组的至少一种制成,
所述覆盖绝缘层由选自SiN和SiON组成的组的至少一种制成,
所述介电填充层由选自SiN和SiCN组成的组的至少一种制成,以及
所述层间介电层由SiO2制成。
5.根据权利要求4所述的方法,其中:
所述栅极结构的每个均还包括形成在所述金属栅极的相对侧上的侧壁间隔件,以及
所述侧壁间隔件由选自SiCN和SiOCN组成的组的至少一种制成。
6.根据权利要求1所述的方法,其中,所述湿蚀刻使用稀释的HF。
7.根据权利要求1所述的方法,其中,在形成所述开口中,部分地去除所述覆盖绝缘层,并且在部分地去除所述覆盖绝缘层的所述覆盖绝缘层的部分上形成所述介电填充层。
8.根据权利要求7所述的方法,其中:
所述栅极结构的每个均还包括形成在所述金属栅极的相对侧上的侧壁间隔件,以及
在形成所述开口中,部分地去除所述蚀刻停止层和所述侧壁间隔件,并且形成与所述侧壁间隔件接触的所述介电填充层。
9.一种制造半导体器件的方法,所述方法包括:
在下面的结构上方形成层间介电(ILD)层,所述下面的结构包括:
第一栅极结构至第四栅极结构,每个均具有金属栅极、设置在所述金属栅极的相对侧上的侧壁间隔件以及设置在所述金属栅极上方的覆盖绝缘层,所述第一栅极结构至所述第四栅极结构沿着第一方向以这种顺序布置;
第一源极/漏极(S/D)外延层和第二源极/漏极外延层,两个均设置在第二栅极结构和第三栅极结构之间;和
蚀刻停止层(ESL),覆盖所述第一源极/漏极外延层和所述第二源极/漏极外延层;
通过蚀刻在包括所述第一源极/漏极外延层和所述第二源极/漏极外延层之间的区域的区上方的所述层间介电层中形成第一开口;
在所述第一开口中形成介电填充层;
通过使用湿蚀刻,去除设置在所述第一源极/漏极外延层和所述第二源极/漏极外延层之上的所述层间介电层,从而分别形成第二开口和第三开口,并且去除设置在所述第一栅极结构和所述第二栅极结构之间的所述层间介电层,从而形成第四开口;
去除设置在所述第一源极/漏极外延层和所述第二源极/漏极外延层上的所述蚀刻停止层,从而至少部分地暴露所述第一源极/漏极外延层和所述第二源极/漏极外延层;以及
在暴露的所述第一源极/漏极外延层和所述第二源极/漏极外延层上方形成导电材料,从而在所述第一源极/漏极外延层上形成第一源极/漏极接触件并且在所述第二源极/漏极外延层上形成第二源极/漏极接触件,并且从而在所述第四开口中形成接触条。
10.一种半导体器件,包括:
隔离绝缘层;
鳍结构,突出于所述隔离绝缘层;
栅极结构,每个均具有金属栅极和设置在所述金属栅极上方的覆盖绝缘层;
第一源极/漏极外延层和第二源极/漏极外延层,设置在两个邻近的所述栅极结构之间;
第一导电接触件和第二导电接触件,所述第一导电接触件设置在所述第一源极/漏极外延层上,并且所述第二导电接触件设置在所述第二源极/漏极外延层上;
分离隔离区域,设置在所述第一导电接触件和所述第二导电接触件之间;以及
绝缘层,设置在所述分离隔离区域和所述隔离绝缘层之间,
其中,所述分离隔离区域由与所述绝缘层不同的材料制成。
CN201710979479.1A 2016-12-29 2017-10-19 半导体器件及其制造方法 Active CN108257871B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662440146P 2016-12-29 2016-12-29
US62/440,146 2016-12-29
US15/649,909 2017-07-14
US15/649,909 US10121675B2 (en) 2016-12-29 2017-07-14 Semiconductor device and a method for fabricating the same

Publications (2)

Publication Number Publication Date
CN108257871A true CN108257871A (zh) 2018-07-06
CN108257871B CN108257871B (zh) 2021-09-03

Family

ID=62708452

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710979479.1A Active CN108257871B (zh) 2016-12-29 2017-10-19 半导体器件及其制造方法

Country Status (4)

Country Link
US (4) US10121675B2 (zh)
KR (1) KR102024431B1 (zh)
CN (1) CN108257871B (zh)
TW (1) TWI656602B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110739304A (zh) * 2018-07-20 2020-01-31 三星电子株式会社 半导体装置及其制造方法
CN112687642A (zh) * 2019-10-18 2021-04-20 台湾积体电路制造股份有限公司 半导体器件的接触结构及其形成方法
CN113054019A (zh) * 2020-04-09 2021-06-29 台湾积体电路制造股份有限公司 半导体器件和形成半导体器件的方法
CN113299743A (zh) * 2020-05-13 2021-08-24 台湾积体电路制造股份有限公司 制造半导体器件的方法及半导体器件
CN113675193A (zh) * 2020-07-31 2021-11-19 台湾积体电路制造股份有限公司 多栅极器件及其制造方法
CN113745153A (zh) * 2020-08-13 2021-12-03 台湾积体电路制造股份有限公司 半导体结构及其形成方法

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10121675B2 (en) * 2016-12-29 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and a method for fabricating the same
US10483372B2 (en) 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
US10998421B2 (en) 2018-07-16 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing pattern loading in the etch-back of metal gate
US10964598B2 (en) * 2019-07-18 2021-03-30 Globalfoundries U.S. Inc. Methods of forming source/drain regions of a FinFET device and the resulting structures
DE102020107241A1 (de) * 2019-10-29 2021-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Finnen-feldeffekttransistor-bauelement und verfahren zu dessen herstellung
US11482421B2 (en) 2019-10-29 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a semiconductor device by a replacement gate process
KR102663811B1 (ko) * 2019-11-06 2024-05-07 삼성전자주식회사 집적회로 소자 및 이의 제조 방법
US11728223B2 (en) * 2019-12-20 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
DE102020126070A1 (de) * 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Kontaktbildungsverfahren und entsprechende struktur
US11764220B2 (en) 2020-04-27 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device by patterning a serpentine cut pattern
CN113314465A (zh) * 2020-04-29 2021-08-27 台湾积体电路制造股份有限公司 半导体装置的制造方法
US11532712B2 (en) 2020-04-29 2022-12-20 Taiwan Semiconductor Manufacturing Company Limited Interconnect structures for semiconductor devices and methods of manufacturing the same
KR20220028681A (ko) * 2020-08-31 2022-03-08 삼성전자주식회사 반도체 장치
US11929314B2 (en) * 2021-03-12 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures including a fin structure and a metal cap
US20220344214A1 (en) * 2021-04-22 2022-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Structures With Densly Spaced Contact Features
KR20220162980A (ko) 2021-06-02 2022-12-09 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US20230027567A1 (en) * 2021-07-23 2023-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100610496B1 (ko) * 2004-02-13 2006-08-09 삼성전자주식회사 채널용 핀 구조를 가지는 전계효과 트랜지스터 소자 및 그제조방법
CN1983638A (zh) * 2005-12-15 2007-06-20 奇梦达股份公司 晶体管、存储单元、存储单元阵列及其形成方法
JP2007180310A (ja) * 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
US20160043170A1 (en) * 2014-08-11 2016-02-11 Sang-Jine Park Semiconductor devices including an isolation layer on a fin and methods of forming semiconductor devices including an isolation layer on a fin

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7268058B2 (en) * 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8524592B1 (en) * 2012-08-13 2013-09-03 Globalfoundries Inc. Methods of forming semiconductor devices with self-aligned contacts and low-k spacers and the resulting devices
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
KR20140108960A (ko) * 2013-03-04 2014-09-15 삼성전자주식회사 듀얼 금속 실리사이드층을 갖는 반도체 장치의 제조 방법
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9508844B2 (en) 2014-01-06 2016-11-29 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and formation thereof
US9165838B2 (en) 2014-02-26 2015-10-20 Taiwan Semiconductor Manufacturing Company Limited Methods of forming low resistance contacts
CN106062962A (zh) 2014-03-21 2016-10-26 英特尔公司 用于集成富Ge的p‑MOS源极/漏极接触部的技术
US9324656B1 (en) 2015-03-09 2016-04-26 Globalfoundries Inc. Methods of forming contacts on semiconductor devices and the resulting devices
KR102374108B1 (ko) 2015-06-02 2022-03-14 삼성전자주식회사 스트레서를 갖는 반도체 장치 및 그 제조 방법
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9947657B2 (en) * 2016-01-29 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9601497B1 (en) * 2016-04-28 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Static random access memory and method of manufacturing the same
US10121675B2 (en) * 2016-12-29 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and a method for fabricating the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100610496B1 (ko) * 2004-02-13 2006-08-09 삼성전자주식회사 채널용 핀 구조를 가지는 전계효과 트랜지스터 소자 및 그제조방법
CN1983638A (zh) * 2005-12-15 2007-06-20 奇梦达股份公司 晶体管、存储单元、存储单元阵列及其形成方法
JP2007180310A (ja) * 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
US20160043170A1 (en) * 2014-08-11 2016-02-11 Sang-Jine Park Semiconductor devices including an isolation layer on a fin and methods of forming semiconductor devices including an isolation layer on a fin

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110739304A (zh) * 2018-07-20 2020-01-31 三星电子株式会社 半导体装置及其制造方法
CN112687642A (zh) * 2019-10-18 2021-04-20 台湾积体电路制造股份有限公司 半导体器件的接触结构及其形成方法
CN113054019A (zh) * 2020-04-09 2021-06-29 台湾积体电路制造股份有限公司 半导体器件和形成半导体器件的方法
US11935932B2 (en) 2020-04-09 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
CN113054019B (zh) * 2020-04-09 2024-08-27 台湾积体电路制造股份有限公司 半导体器件和形成半导体器件的方法
CN113299743A (zh) * 2020-05-13 2021-08-24 台湾积体电路制造股份有限公司 制造半导体器件的方法及半导体器件
CN113299743B (zh) * 2020-05-13 2024-04-05 台湾积体电路制造股份有限公司 制造半导体器件的方法及半导体器件
CN113675193A (zh) * 2020-07-31 2021-11-19 台湾积体电路制造股份有限公司 多栅极器件及其制造方法
US11984488B2 (en) 2020-07-31 2024-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Multigate device with air gap spacer and backside rail contact and method of fabricating thereof
CN113745153A (zh) * 2020-08-13 2021-12-03 台湾积体电路制造股份有限公司 半导体结构及其形成方法
CN113745153B (zh) * 2020-08-13 2023-12-29 台湾积体电路制造股份有限公司 半导体结构及其形成方法

Also Published As

Publication number Publication date
TW201824448A (zh) 2018-07-01
US20210143018A1 (en) 2021-05-13
US11581193B2 (en) 2023-02-14
KR20180078126A (ko) 2018-07-09
US20200243344A1 (en) 2020-07-30
US10546755B2 (en) 2020-01-28
US10872781B2 (en) 2020-12-22
US10121675B2 (en) 2018-11-06
US20180190504A1 (en) 2018-07-05
KR102024431B1 (ko) 2019-09-23
TWI656602B (zh) 2019-04-11
CN108257871B (zh) 2021-09-03
US20190013208A1 (en) 2019-01-10

Similar Documents

Publication Publication Date Title
CN108257871A (zh) 半导体器件及其制造方法
US11127742B2 (en) Semiconductor device and a method for fabricating the same
US10971588B2 (en) Semiconductor device including FinFET with self-align contact
TWI638428B (zh) 半導體裝置及其製造方法
TWI650869B (zh) 半導體裝置與其形成方法
CN106910738B (zh) 半导体器件及其制造方法
US9773879B2 (en) Semiconductor device and a method for fabricating the same
KR101910243B1 (ko) 반도체 장치 및 그 제조 방법
CN108257954A (zh) 半导体器件及其制造方法
CN107452795A (zh) 半导体器件及其制造方法
CN107039526A (zh) 半导体器件及其制造方法
US12068201B2 (en) Semiconductor devices
CN109727867A (zh) 半导体器件及其制造方法
CN107017256B (zh) 半导体器件中的局部互连件及其制造方法
CN108257944A (zh) 半导体器件及其制造方法
TW201724514A (zh) 半導體裝置及其製造方法
TW201732897A (zh) 半導體裝置的製造方法
US9349728B1 (en) Semiconductor device and method for fabricating the same
US20220223698A1 (en) Wraparound contact to a buried power rail

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant