CN106062962A - 用于集成富Ge的p‑MOS源极/漏极接触部的技术 - Google Patents

用于集成富Ge的p‑MOS源极/漏极接触部的技术 Download PDF

Info

Publication number
CN106062962A
CN106062962A CN201480075937.3A CN201480075937A CN106062962A CN 106062962 A CN106062962 A CN 106062962A CN 201480075937 A CN201480075937 A CN 201480075937A CN 106062962 A CN106062962 A CN 106062962A
Authority
CN
China
Prior art keywords
layer
source
sige
drain
type
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201480075937.3A
Other languages
English (en)
Inventor
G·A·格拉斯
A·S·默西
T·加尼
Y·庞
N·G·米斯特卡维
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=54145116&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=CN106062962(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN106062962A publication Critical patent/CN106062962A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

公开了用于富锗(Ge)的p‑MOS源极/漏极接触部的改进的集成以例如减小接触电阻的技术。该技术包括直接在接触沟槽位置中的硅(Si)表面上沉积p‑型富Ge层,这是因为Si表面有利于沉积高质量导电富Ge材料。在一个示例的方法中,在去除先前沉积在源极/漏极位置中的牺牲硅锗(SiGe)层之后,在源极/漏极接触沟槽位置中的Si衬底的表面上沉积富Ge层。在另一个示例的方法中,在接触沟槽位置中的Si包覆层上沉积富Ge层,其中,Si包覆层沉积在功能p‑型SiGe层上。在某些情况下,富Ge层包括至少50%的Ge(并且可以包含锡(Sn)和/或Si),并且是以高于1E20cm‑3的水平掺杂硼(B)的。

Description

用于集成富Ge的p-MOS源极/漏极接触部的技术
背景技术
包括形成在半导体衬底上的晶体管、二极管、电阻器、电容器、和其它无源和有源电子器件的电路器件的提高的性能通常是在那些器件的设计、制造和工作期间要考虑的主要因素。例如,在金属-氧化物-半导体(MOS)晶体管器件(诸如在互补金属-氧化物-半导体(CMOS)器件中使用的那些)的设计和制造或形成期间,经常期望使与源极/漏极区和接触部相关联的电阻(另称为外部电阻的组成部分)最小化。减小外部电阻实现了针对给定的源极至漏极的提高的晶体管电流。
附图说明
图1是根据本公开内容的一个或多个实施例的形成使用牺牲硅锗(SiGe)层并包括沉积在硅(Si)表面上的富锗(Ge)源极/漏极接触层的晶体管的方法。图1’是根据本公开内容的一个或多个实施例的形成使用功能SiGe层并包括沉积在Si表面上的富Ge源极/漏极接触层的晶体管的方法。
图2A-H’示出了根据各个实施例的在针对平面或非平面晶体管架构来执行图1或图1’中任一个的方法时形成的示例结构。
图3A-J’示出了根据各个实施例的在针对非平面(例如,鳍式)晶体管架构来执行图1或图1’中任一个的方法时形成的示例结构。
图4示出了根据实施例的在执行图1中的方法时形成的纳米线/纳米带晶体管结构的透视图。
图4’示出了根据实施例的在执行图1’中的方法时形成的纳米线/纳米带晶体管结构的透视图。
图5示出了根据本公开内容的一个或多个实施例的利用一个或多个晶体管结构来实现的计算系统。
具体实施方式
公开了用于富锗(Ge)的p-MOS源极/漏极接触部的改进的集成以例如减小接触电阻的技术。该技术包括直接在接触沟槽位置中的硅(Si)表面上沉积p-型富Ge层,这是因为Si表面有利于沉积高质量的导电富Ge材料。在一个示例的方法中,在去除先前沉积在源极/漏极位置中的牺牲硅锗(SiGe)层之后,在源极/漏极接触沟槽位置中的Si衬底的表面上沉积富Ge层。在另一个示例的方法中,在接触沟槽位置中的Si包覆层上沉积富Ge层,其中,Si包覆层沉积在功能p-型SiGe层上。在某些情况下,富Ge层包括至少50%的Ge(并且可以包含锡(Sn)和/或Si),并且是以高于1E20cm-3的水平掺杂硼(B)的。鉴于本公开内容,许多晶体管配置和适当的制造工艺将是显而易见的,包括平面和非平面两者的晶体管结构(例如,鳍式和纳米线/纳米带配置)。该技术特别适合于实现p-型MOS(p-MOS)器件,但是其它晶体管配置也可以得益,诸如互补型MOS(CMOS)器件。鉴于本公开内容,许多配置和变型将是显而易见的。
总体概述
如先前解释的,晶体管中增大的驱动电流通常可以通过减小诸如接触电阻之类的外部电阻来实现。在某些情况下,可以通过使用富锗(Ge)材料作为有效欧姆接触材料来减小p-型MOS(p-MOS)晶体管的接触电阻。然而,在通过MOS制造工艺流程保留富Ge材料(如果富Ge材料沉积在源极/漏极位置处)时会出现问题。例如,富Ge材料会在与接触循环处理相关联的蚀刻、灰化、和退火中易受到侵蚀和无意去除的影响。当该材料沉积在接触沟槽位置中时,在获得富Ge材料的高质量的沉积(例如,如由膜的导电性来判断)方面也会出现问题。例如,接触沟槽位置中的上面沉积富Ge材料的表面可能是“脏的”(例如,由于接触循环处理)和/或难以清洗。如果富Ge材料沉积在“脏的”表面上,则结果可能在局部区域或整体上产生在非晶原子排列的意义上的差的晶体质量。差的结晶度导致差的掺杂物活化和高接触电阻。
因此并根据本公开内容的一个或多个实施例,公开了用于富Ge的p-MOS源极/漏极接触部的改进的集成以例如减小接触电阻的技术。如先前所描述的,富Ge材料可以被用作为有效的欧姆接触材料;然而,在保留富Ge层(如果在工艺流程中过早沉积富Ge层(例如,在接触循环处理之前的源极/漏极处理期间))或获得富Ge层的高质量的沉积(如果在工艺流程中较晚沉积富Ge层(例如,由于上面沉积富Ge层的“脏的”和/或难以清洗的表面))的情况下会出现问题。因此,本文中不同地描述的技术包括在接触沟槽位置中的硅(Si)表面上直接沉积富Ge层,这是因为Si具有其较易于(例如,与硅锗(SiGe)相比较,硅锗(SiGe)通常用于p-MOS的源极和漏极)实现外延质量清洁表面的属性。应当指出,如本文中不同地描述的富Ge层将用于p-MOS的源极/漏极接触部并因此是p-型层,这意味着其具有p-型掺杂(例如,使用硼(B)、镓(Ga)、和/或任何其它适当的掺杂物)。
如鉴于本公开内容将显而易见的,在清洁的Si表面上直接沉积富Ge层通常使用以下两种方法中的一种来实现:1)在去除牺牲SiGe层之后,在接触沟槽位置中的Si衬底的表面上沉积富Ge层;或2)在接触沟槽位置中的Si包覆层上沉积富Ge层,其中,Si包覆层沉积在功能p-型SiGe层上。应当指出,在这两种方法中,在接触循环处理之前,在源极/漏极位置中沉积SiGe层(不管是牺牲的还是功能的)。还应当指出,在第一方法的实施例中,SiGe层是牺牲层,而在第二方法的实施例中,SiGe层是功能层,如本文中将更详细地讨论的。还应当指出,尽管在某些实例中,SiGe层被称为是牺牲的,但是其可以是p-型掺杂的并因此能够是有作用的(functional);然而,SiGe层被称为是牺牲的,这是因为其旨在在p-接触部的处理期间被去除,如鉴于本公开内容将显而易见的。
在其中SiGe层是牺牲的实施例中,在某些情形下,SiGe层可以包括15-30%的Ge。但是在某些实例中,牺牲材料的范围可以从10%的Ge至纯Ge。此外,在某些情况下,牺牲SiGe层还可以是未掺杂的。但是在其它情况下,牺牲层可以具有某些量的p-型掺杂。在某些实施例中,使用对Si和绝缘体材料具有选择性的SiGe蚀刻来去除牺牲SiGe层。这种选择性蚀刻可以包括例如湿法蚀刻(包括水、硝酸、有机酸(例如,醋酸或柠檬酸)、和/或氢氟酸),并且选择性蚀刻可以例如具有大约的可用的蚀刻速率。
在其中SiGe层是有作用的实施例中,在某些情况下,SiGe层可以包括30-70%的Ge(例如,以便为了性能原因而使得应变最大化)。此外,在这些实施例中,功能(functional)SiGe层是p-型掺杂的(例如,掺杂B的)。在其中SiGe层是有作用的实施例中,可以在接触循环处理之前在功能SiGe层上沉积Si包覆层。然而,在接触沟槽处理期间,可以以使得Si包覆层被保留用于随后的富Ge层的沉积的方式来执行接触沟槽蚀刻。在这些情况下,如本文中将更详细地讨论的,可以使用蚀刻停止层(例如,氮化物、碳化物、或相比于其上方的氧化物/绝缘体材料具有足够不同的蚀刻速率的某些其它适当的材料)来在接触沟槽蚀刻期间辅助保留Si包覆层。
在某些实施例中,富Ge层材料可以包括至少50%的Ge(多达100%的Ge)),并且还可以包括Si和锡(Sn)。例如,在某些情况下,富Ge材料可以是SiGe,该SiGe具有在50-99%的范围内的Ge。在其它情况下,富Ge材料可以是锗锡(GeSn),其具有多达15%的Sn,并且在某些实例中可以包含痕量级的Si(例如,<5%)。回忆起富Ge层是p-型层并且因此是p-型掺杂的(例如,掺杂B的)。在某些情况下,p-型富Ge材料可以以大约2E20cm-3的水平来掺杂B。但是在某些实例中,p-型富Ge层可以以高于5E19cm-3(以及多达5E21cm-3)的水平来掺杂B。在某些实施例中,沉积富Ge层包括利用例如550或500摄氏度的最大温度来进行处理。
如本文中不同地描述的技术可以用于在任何数量的设备和系统中形成晶体管器件。在某些实施例中,诸如具有n-型MOS(n-MOS)和p-MOS晶体管两者的CMOS器件,可以存在n-型区。在其中也存在n-型区的这些情况下,可以对接触部进行图案化以便与n-接触部分离地敞开p-接触部。这还可以提供当独立地敞开n-接触部时对于接触电阻减小的原因可以使用n-型的特定金属的益处。此外,如在常规的接触处理中,由于p和n-接触部金属不必共享,因此可以得到另外的益处。在其它实施例中,选择性可以包括自然选择性。例如,当p-型富Ge层在Si表面上生长时,其不在诸如二氧化硅(SiO2)或氮化硅(SiN)之类的绝缘体表面上生长;其也不在例如n-型区中的暴露的重磷掺杂的硅上生长。
本文中所提供的技术可以用于提高任何数量的晶体管结构和配置(包括平面的、齐平的或凸起的源极/漏极、非平面的(例如,纳米线晶体管和鳍式晶体管,诸如双栅极和三栅极晶体管结构)、以及应变的和未应变的沟道结构)中的器件电阻。源极/漏极区域可以是凹进的(例如,使用蚀刻工艺)或者非凹进的(例如,形成在衬底的顶部表面上)。另外,晶体管器件可以可选地包括被设计为例如减小晶体管的总电阻而同时改进短沟道效应(SCE)的源极和漏极尖端区,但这种尖端区并不是必须的。晶体管器件还可以包括任意数量的栅极配置,诸如多晶栅极、高-k电介质金属栅极、替代金属栅极(RMG)工艺栅极、或任何其它栅极结构。可以结合如本文中描述的低电阻晶体管技术来使用任意数量的结构特征。
一旦进行分析(例如,使用扫描电子显微镜/透射电子显微镜(SEM/TEM)和/或复合映射),根据一个或多个实施例配置的结构将有效地示出包括沉积在Si表面(例如,Si衬底的表面或Si包覆层的表面)上的富Ge层的接触区。另外,当位于集成电路上时的这些结构可以与位于集成电路上的不具有接触部的源极/漏极进行比较。在这种无接触部的源极/漏极区(例如,在用于接触部处理的接触沟槽蚀刻期间未敞开的源极/漏极区)中,如本文中不同地描述的,SiGe层可以存在于源极/漏极位置中。例如,在其中SiGe层旨在对于随后的接触部处理是牺牲的情况下,SiGe层可以包括15-30%的Ge并且可以是未掺杂的。在其中SiGe层旨在对于随后的接触部处理是有作用的情况下,SiGe层可以包括30-70%的Ge并且可以是p-型掺杂的(例如,掺杂B的)。另外,在这种情况下,SiGe层可以包括Si包覆层。此外,在某些情况下,使用本文中不同地描述的技术来制造的晶体管可以提供相对于常规结构的关于至少接触电阻的减小(例如,对于给定工作电压得到20-30%的电流提高)的改进。此外,可以通过例如次级离子质谱法(SIMS)或由离子探针来检测富Ge层与上面直接沉积富Ge层的Si表面之间的界面的清洁度(例如,相比于富Ge层与SiGe表面之间的界面)。鉴于本公开内容,许多配置和变型将显而易见。
架构和方法
图1和图1’是根据本公开内容的一个或多个实施例的形成包括沉积在Si上的富Ge源极/漏极接触层的晶体管的方法。图2A-2H’示出了根据不同实施例的在针对平面或非平面晶体管架构来执行图1或图1’中的任一个的方法时形成的示例性结构。如鉴于本公开内容将显而易见的,对于非平面晶体管架构,诸如鳍式架构(例如,三栅极或finFET),图2A-2H’可以示出沿着半导体鳍状物的长度而得到的横截面视图。图1和图1’中示出的示例的方法包括在上面可以形成一个或多个晶体管器件(例如,MOS器件)的半导体衬底上形成一个或多个栅极叠置体,栅极叠置体形成在沟道区上方并具有与沟道区相邻的源极/漏极区。MOS器件可以包括例如p-MOS晶体管,或n-MOS和p-MOS晶体管两者(例如,对于CMOS器件)。
图1示出了根据某些实施例的形成包括在执行接触沟槽蚀刻之后、去除牺牲SiGe层之后沉积在Si衬底的表面上的富Ge源极/漏极层的晶体管的方法。图1’示出了根据某些实施例的形成包括在接触沟槽蚀刻之后沉积在Si包覆层上的富Ge源极/漏极层的晶体管的方法,其中Si包覆层沉积在功能p-型SiGe层上。因此,在某些实施例中,SiGe层将沉积在源极/漏极区中作为牺牲层,而在其它实施例中,SiGe层将沉积在源极/漏极区中作为功能层。SiGe层的属性(例如,Ge的%,掺杂量等)可以取决于SiGe层将被用作为牺牲层(例如,如图1中的方法中的情况)还是被用作为功能源极/漏极层(例如,如图1’中的方法中的情况),如鉴于本公开内容将显而易见的。
如在图1和图1’中可看到的,示例的方法包括在半导体衬底上执行102浅沟槽隔离(STI)。可以使用硅基底或衬底(诸如硅单晶晶圆)来形成衬底。可以例如利用体硅、绝缘体上硅配置(SOI)或利用多层结构(包括在随后的栅极图案化工艺之前在上面形成用于制造纳米线/纳米带的单组分鳍状物或具有不同组分的多层的那些衬底)来实现衬底。在其它实施方式中,可以使用替代材料来形成半导体衬底,其可以或可以不与硅组合,诸如锗。在更通常的意义上,根据本公开内容的实施例,可以使用可用作为在上面可构建半导体器件的基础的任何材料。STI工艺可以包括图案化扩散区、蚀刻STI沟槽、沉积绝缘材料或氧化物或层间电介质(ILD)材料、以及对所沉积的STI材料进行抛光。在某些情况下,诸如对于非平面架构,STI工艺还可以包括使STI材料凹进。在某些情况下,诸如对于平面架构,扩散区的平面可以与STI的顶部在名义上成平面(例如,在大约10nm内)。在非平面架构的情况下,诸如用于鳍式或纳米线/纳米带晶体管器件的那些非平面架构,STI位置可以在扩散被限定为窄结构(随后使该窄结构从STI材料的平面发散(例如,扩散水平为10nm或者在顶部STI平面的水平面上方更多))的地方。应当指出,在其它实施例中,可以使用另一种适当的隔离形式(除了STI之外)来分离半导体衬底上的扩散区。
图1和1’中的方法继续在半导体衬底上形成104栅极或栅极叠置体。可以如通常所进行的那样或使用任何适当的定制技术来形成栅极或栅极叠置体。在某些实施例中,可以通过沉积并随后图案化栅极电介质层和栅极电极层来形成栅极叠置体。例如,可以使用常规的沉积工艺(诸如化学气相沉积(CVD)、原子层沉积(ALD)、旋涂沉积(SOD)或物理气相沉积(PVD))来将栅极电介质层均厚沉积到衬底上。也可以使用替代的沉积技术,举例来说,诸如热生长栅极电介质层。栅极电介质材料可以例如由诸如二氧化硅或高-k电介质材料之类的材料构成。高-k栅极电介质材料的示例包括例如氧化铪、氧化铪硅、氧化镧、氧化镧铝、氧化锆、氧化锆硅、氧化钽、氧化钛、氧化钡锶钛、氧化钡钛、氧化锶钛、氧化钇、氧化铝、氧化铅钪钽、以及铌酸铅锌。在某些示例的实施例中,虚设栅极电介质层或高-k栅极电介质层可以在大约至大约的厚度之间(例如,)。通常,栅极电介质层的厚度应当足以将栅极电极与相邻的源极和漏极接触部电隔离。在另外的实施例中,可以在高-k栅极电介质层上执行额外的处理,诸如退火工艺以提高高-k材料的质量。
随后可以使用与以上所描述的类似的沉积技术(诸如,ALD、CVD或PVD)来在栅极电介质层上沉积栅极电极材料。在某些这种具体实施例中,栅极电极材料可以是多晶硅或金属层,但是也可以使用其它适当的栅极电极材料。在某些示例的实施例中,栅极电极材料(其可以是稍后被去除以用于诸如替代金属栅极(RMG)工艺之类的替代栅极工艺的牺牲材料或虚设材料)可以具有在的范围中(例如,)的厚度。下面将更详细地讨论RMG工艺。先栅极/后栅极、RMG、或常规的SiO2/多晶栅极的使用都与本公开内容兼容。
图1和图1’中的方法继续蚀刻106晶体管结构的源极/漏极区以形成源极/漏极腔。蚀刻106以形成源极/漏极腔可以如常规所进行的那样或使用任何数量的适当工艺来执行。在某些示例情况下,其包括离子注入至衬底的与栅极叠置体相邻的高掺杂部分,其后进行退火来将掺杂物进一步驱动至衬底中以提高对预期的源极/漏极区域的蚀刻速率。随后可以使用干法蚀刻工艺来蚀刻衬底的掺杂区以形成源极/漏极腔并且在某些情况下形成相应的尖端区域。在已经完成干法蚀刻工艺之后,可以使用湿法蚀刻来例如清洗和进一步蚀刻该区域。这种湿法蚀刻(其可以使用常规的或定制的湿法蚀刻化学制品来执行)可以用于去除污染物(诸如,碳、氟、氟氯烃和诸如二氧化硅之类的氧化物)以提供在上面可以执行随后的工艺的清洁表面。此外并假设单晶硅衬底,湿法蚀刻还可以用于去除衬底的沿着<111>和<001>晶面的薄的部分以提供在上面可以发生高质量外延沉积的平滑表面。在某些示例情况下,衬底的被蚀刻掉的薄的部分可以例如多达5nm厚并且还可以去除残余污染物。如将意识到的,在某些实施例中,源极/漏极区不需要凹进或以其它方式被蚀刻。在这种情况下,源极/漏极材料可以形成在半导体衬底上而无需任何蚀刻。
图2A示出了如以上不同地描述的在衬底200上执行102STI、在沟道区上方形成104栅极叠置体(栅极叠置体包括栅极电介质层202、栅极电极层204、以及可选的硬掩模206)、以及蚀刻106源极/漏极腔212/214之后的示例的所得到的结构。可选的栅极硬掩模层206可以用于在处理期间提供某些益处或用途,诸如保护栅极电极204免受随后的蚀刻和/或离子注入工艺的影响。可以使用典型的硬掩模材料(诸如二氧化硅、氮化硅、和/或其它常规的绝缘体材料)来形成硬掩模层206。
如在图2A中还可以看到的,间隔体208被形成为邻近于栅极叠置体,并且源极/漏极腔212/214分别包括可选的尖端区212A/214A。可以例如使用诸如氧化硅、氮化硅、或其它适当的间隔体材料之类的常规材料来形成间隔体208。通常可以基于对所形成的晶体管的设计需求来选择间隔体208的宽度。在该示例的实施例中,源极/漏极腔212/214有效地限定了源极/漏极区的位置,并且如可以看到的,源极/漏极腔的尖端区212A/214A底切栅极电介质302。
图1中的方法继续分别在源极/漏极腔212/214中沉积108牺牲SiGe层218/220,以重新生长源极/漏极区,如根据实施例的在图2B中的所得到的结构中示出的。回忆起在图1中的方法中,如本文中将讨论的,SiGe层旨在是在随后的处理中待去除以暴露Si衬底的表面的牺牲层。在其中SiGe层是牺牲的实施例中,在某些情况下,SiGe层可以包括15-30%的Ge。但是在某些实例中,牺牲层的范围可以从10%的Ge至纯Ge。此外,在某些情况下,牺牲SiGe层也可以是未掺杂的。但是在其它情况下,牺牲层可以具有某些量的p-型掺杂。
在图1’中的方法中,SiGe层218’/220’(沉积108’在源极/漏极腔212/214中,如在图2B’中示出的)旨在是功能源极/漏极层。在这些实施例中,在某些情况下,功能SiGe层可以包括30-70%的Ge(例如,以为了性能原因而使得应变最大化)。此外,在这些实施例中,SiGe层是p-型掺杂的(例如,掺杂B的),这是因为其是功能源极/漏极层(与随后将被去除的牺牲层不同)。例如,B的浓度可以超过1E20cm-3、或任何其它适当的浓度以允许SiGe层218’/220’是p-MOS器件的功能层。图1’中的方法继续在源极/漏极位置中的SiGe层218’/220’上沉积109Si包覆层,以形成在图2B’中示出的所得到的示例结构。
可以例如使用选择性外延沉积来执行沉积108、108’、和109,但是可以使用任何适当的沉积工艺。例如,可以在CVD反应器、LPCVD反应器、或超高真空CVD(UHVCVD)中执行沉积108和109。在某些示例的情况下,反应器温度可以下降到例如600℃与800℃之间,并且反应器压强可以下降到例如1Torr与760Torr之间。载气可以包括例如以适当的流速(诸如,在10SLM与50SLM之间)的氢或氦。在某些示例的情况下,可以添加蚀刻剂来增加沉积的选择性。例如,可以以范围在例如50SCCM与300SCCM之间的流速来添加HCl或Cl2。在p-型掺杂的情况下(例如,其中SiGe层218’/220’是p-型掺杂的),可以使用硼、稀释的B2H6的原位掺杂(例如,B2H6可以以1-20%在H2中稀释)。例如,可以以3%浓度以及范围在10SCCM与100SCCM之间的流速来使用稀释的B2H6。在某些情况下,沉积可以是基于材料和/或p-型掺杂物的浓度的渐变式沉积。如鉴于本公开内容将显而易见的,可以使用各种其它适当的沉积技术。
图1和图1’中的方法继续如通常进行的沉积110绝缘体层230并随后进行抛光/平坦化,以形成图2C中示出的结构。应当指出,在图1’中的情况下,包覆层222将被示出为位于功能SiGe层218’/220’的顶部。可以使用对于晶体管和集成电路结构的绝缘体层中的适用性公知的材料(诸如,低-k电介质(绝缘体)材料)来形成绝缘体层230。这些绝缘体材料包括例如氧化物(诸如二氧化硅(SiO2))和碳掺杂的氧化物(CDO)、氮化硅、有机聚合物(诸如,八氟环丁烷、聚四氟乙烯、氟硅酸盐玻璃(FSG))以及有机硅酸盐(诸如,硅倍半氧烷、硅氧烷或有机硅酸盐玻璃)。在某些示例的配置中,绝缘体层可以包括气孔或其它空隙以进一步降低其介电常数。
图1和图1’中的方法可以可选地继续使用例如RMG工艺来去除和替代112栅极叠置体以形成在图2D中示出的示例的所得到的栅极结构。在这种可选情况下,方法可以包括如通常进行的使用蚀刻工艺来去除栅极叠置体(包括高-k栅极电介质层202、牺牲/虚设栅极电极204、以及硬掩模层206)。在替代的实施方式中,仅去除牺牲/虚设栅极电极204(和硬掩模层206)。如果去除了栅极电介质202,则方法可以包括将新的栅极电介质层沉积至沟槽开口中。在这里可以使用任何适当的高-k电介质材料(诸如先前所描述的那些材料),诸如氧化铪。还可以使用相同的沉积工艺。例如,可以使用对栅极电介质202的替代来解决在实施干法和湿法蚀刻工艺期间可能已经对原始栅极电介质层发生的任何损害,和/或用高-k栅极电介质材料或另外期望的栅极电介质材料来替代低-k电介质材料或牺牲电介质材料。方法随后可以继续将金属栅极电极层沉积到沟槽中并沉积在栅极电介质层上方。可以使用常规的金属沉积工艺(诸如CVD、ALD、PVD、无电镀、或电镀)来形成金属栅极电极层。金属栅极电极层可以包括例如p-型功函数金属,诸如钌、钯、铂、钴、镍、和导电金属氧化物(例如氧化钌)。在某些示例的配置中,可以沉积两个或更多个金属栅极电极层。例如,可以沉积功函数金属,其后是诸如铝之类的适当的金属栅极电极填充金属。图2D示出了根据一个实施例的已经被沉积到沟槽开口中的示例的高-k栅极电介质层203和金属栅极电极205。应当指出,如果期望的话,可以在图1和图1’的方法中的不同时间处执行这种RMG工艺。
图1和图1’中的方法可以可选地继续如通常进行的遮蔽(mask-off)114n-型(例如,n-MOS)源极/漏极区(如果存在的话)以允许接触部图案化,以便与n-接触部分离地敞开p-接触部。在这些情况下,遮蔽114n-型区保护它们在随后的对p-接触部的处理期间免受影响。这也可以提供当独立地敞开n-接触部时为了接触部电阻减小的原因可以使用n-型特定金属的益处。此外,如在常规的接触部处理中,由于p和n-接触部金属不必进行连接,因此可以得到另外的益处。可以在旨在用于具有n-MOS和p-MOS晶体管两者的CMOS器件的结构中使用遮蔽114。
图1中的方法继续蚀刻116以形成如在示例的所得到的结构2E中示出的源极/漏极接触沟槽240。如还可以看到的,由于执行接触沟槽蚀刻116,因此重新暴露SiGe层218/220以用于另外的处理。因为在图1中的示例的方法中,SiGe层218/220是牺牲的,因此可以使用任何适当的干法和/或湿法蚀刻工艺。回忆起在其中遮蔽114n-接触区的可选情况下,在接触沟槽蚀刻116期间可以仅重新暴露p-型接触区。
图1’中的方法继续蚀刻116’以形成如在示例的所得到的结构2E’中示出的源极/漏极接触沟槽240’。如还可以看到的,由于执行接触沟槽蚀刻116’,因此重新暴露Si包覆层222以用于另外的处理。在该示例的方法中,可以执行接触沟槽蚀刻116’,以便保留Si包覆层222用于随后的沉积。在这种情况下,可以使用蚀刻停止层来帮助保留Si包覆层222,并且可以在沉积110绝缘体层230之前沉积蚀刻停止层(其可以是氮化物、碳化物、或具有与绝缘体层230足够不同的蚀刻速率的任何其它材料)。因此,当在其中使用蚀刻停止层的情况下执行接触沟槽蚀刻116’时,可以执行第一蚀刻以从p-接触区中去除绝缘体层230,但是有效地在蚀刻停止层处停止,并随后可以执行第二蚀刻以去除蚀刻停止层,但是有效地在Si包覆层222处停止。
图1中的方法继续蚀刻117以从源极/漏极区中去除牺牲SiGe层218/220,以形成在图2F中示出的示例的所得到的结构。如在图2F中可以看到的,重新形成的源极/漏极腔212/214可以是与图2A中示出的源极/漏极腔相同或相似的腔。SiGe层218/220(其在该实施例中是牺牲层)可以使用对Si和绝缘体材料具有选择性的蚀刻117(例如,其将不会有效地去除Si或绝缘体材料,或者以与去除SiGe层218/220的速率相比慢得多的速率去除Si或绝缘体材料)来去除。这种选择性蚀刻可以包括例如包括水、硝酸、有机酸(醋酸或柠檬酸)、和/或氢氟酸的湿法蚀刻,并且选择性蚀刻可以具有例如大约的可用蚀刻速率。
图1和图1’中的方法继续在Si表面上的源极/漏极区中沉积118p-型富Ge材料。在图1中的方法的情况下,富Ge层224/226沉积在Si衬底200的表面上,如在图2G中的示例的所得到的结构中示出的。在图1’中的方法的情况下,富Ge层224’/226’沉积在Si包覆层222的表面上,如在图2G’中的示例的所得到的结构中示出的。可以如在本文中不同地描述地(例如,使用参照沉积108和109所讨论的技术或任何其它适当的沉积技术)执行沉积118。在某些示例的情况下,可以对沉积118执行包括例如550或500摄氏度的最大温度的处理。在这种情况下,处理的热预算限制可以取决于在以上所描述的可选的RMG工艺期间用于栅极电极的金属栅极材料。在某些示例的情况下,可以在原位或者在没有空气断路(air break)的情况下执行蚀刻117以去除牺牲SiGe层和沉积118富Ge层。
在某些实施例中,富Ge层材料可以由至少50%的Ge组成。因此,富Ge层可以由在50%-100%的范围中的Ge组成。在某些实施例中,富Ge层可以包括Si(例如,SiGe)并且可以由在50%-99%的范围中的Ge组成。在某些实施例中,富Ge材料可以包括锡(Sn)(例如,GeSn),其具有多达15%的Sn。在某些这样的实施例中,富Ge材料还可以包括痕量级的Si(例如,<5%)。回忆起富Ge层是p-型层并因此是p-型掺杂的(例如,掺杂B的)。在某些情况下,p-型富Ge层可以以大约2E20cm-3的水平来掺杂B。但是在某些实例中,p-型富Ge层可以以高于5E19cm-3(以及在某些示例的情况下,多达5E21cm-3)的水平来掺杂B。
图1和图1’中的方法随后可以继续沉积120源极/漏极金属接触插塞250,得到图2H和图2H’中示出的示例结构。在某些实施例中,源极/漏极金属接触插塞250可以包括铝或钨,但是可以使用常规的沉积工艺、使用任何适当的导电接触金属或合金,诸如银、镍-铂或镍-铝、或镍和铝的其它合金、或者钛。可以例如使用锗化工艺(通常,沉积接触部金属并随后进行退火)执行源极/漏极接触部的金属化。例如,可以使用在具有或不具有锗预非晶化注入的情况下利用镍、铝、镍-铂或镍-铝或镍和铝的其它合金、或钛的锗化来形成低电阻锗化物。如鉴于本公开内容将显而易见的,富Ge层可以允许金属-锗化物的形成(例如,镍-锗)。
锗化工艺还可以允许显著地降低肖特基势垒高度和相比于常规的金属-硅化物系统中的接触电阻增大的接触电阻。例如,常规的晶体管通常使用源极/漏极SiGe epi工艺,其中Ge浓度在30%-40%的范围内。这种常规系统呈现出由epi/硅化物界面电阻所限制的大约140Ohm-um的外部电阻值,该电阻值是高的并且可能阻碍将来的栅极节距缩放。本公开内容的某些实施例允许p-MOS器件中的外部电阻的显著增大(例如,对于给定的工作电压,得到20%-30%的电流提高),其可以较好地支持p-MOS器件缩放。因此,如本文中不同地描述的具有包括直接沉积在Si表面上的富Ge层的源极/漏极区的晶体管可以呈现与常规晶体管相比相对较低的外部电阻值(例如,低接触电阻)。
非平面配置
例如可以使用鳍式或纳米线/纳米带配置来实现非平面架构。围绕半导体材料的薄带(通常被称为鳍状物)构建鳍式晶体管。晶体管包括标准的场效应晶体管(FET)节点,包括栅极、栅极电介质、源极区、和漏极区。器件的导电沟道驻留在栅极电介质下方的鳍状物的外部侧上/内。具体来说,电流沿着鳍状物的两个侧壁(与衬底表面垂直的侧部)并沿着鳍状物的顶部(平行于衬底表面的侧部)流动。因为这种配置的导电沟道实质上沿着鳍状物的三个不同的外部平面区驻留,因此这些鳍式设计有时候被称为三栅极或finFET配置。其它类型的鳍式配置也是可用的,诸如所谓的双栅极finFET,其中导电沟道主要仅沿着鳍状物的两个侧壁驻留(而不沿着鳍状物的顶部)。
图1和图1’中的方法可以应用于非平面的晶体管架构。图3A-3J’示出了根据本公开内容的某些实施例的当针对非平面晶体管架构执行(图1和图1’中)的那些方法时形成的示例结构。如将意识到的,以上关于图1-1’和图2A-H’的先前讨论同样适用于这里。为便于描述,类似的附图标记用于标识图3A-3J’中的如在图2A-H’中使用的特征,除了图3A-3J’包括300的附图标记,而图2A-H’包括200的附图标记(例如,衬底200类似于衬底300,栅极电极204类似于栅极电极304、等等)。在可以在图3A中看到的,所示出的非平面的配置被实现为具有鳍式结构,其包括衬底300和从衬底延伸穿过STI/隔离层301的鳍状物310。
图3A示出了在衬底300上执行102STI(在该示例情况下,包括使STI材料凹进以形成鳍状物310)之后的所得到的结构。图3B示出了在形成104栅极叠置体(包括虚设栅极电极304和可选的虚设栅极电介质)之后的图3A中的结构,在该示例的实施例中,栅极叠置体是虚设/牺牲栅极叠置体(然而,不必是这种情况)。应当指出,在该实施例中,还在栅极叠置体上方形成间隔体308,可能已经使用如以上所描述的间隔体沉积和蚀刻来形成间隔体308。图3C示出了在蚀刻106源极/漏极区312/314之后的图3B中的结构。图3D示出了在沉积108牺牲SiGe层318/320之后的图3C中的结构。图3D’示出了在沉积108’功能SiGe层318’/320’和Si包覆层322之后的图3C中的结构。以上讨论了牺牲SiGe层和功能SiGe层以及这些层的沉积。
图3E示出了在沉积110绝缘体层330之后的图3D中的结构。图3F示出了在去除112虚设栅极叠置体(包括虚设栅极电极304)以重新暴露沟道区309之后的图3E中的结构。图3G示出了在用新的栅极叠置体(例如,用新的栅极电介质和金属栅极电极305)替代112虚设栅极叠置体之后的图3F中的结构。应当指出,在某些实施例中,去除/替代112栅极叠置体可以是可选的工艺,如先前所描述的。图3H示出了在执行116接触沟槽蚀刻以便从将变为p-接触部的区域中去除绝缘体330之后的图3G中的结构。图3H还示出了栅极叠置体上的可选的硬掩模360。应当指出,在接触沟槽蚀刻116之前可能已经执行可选地遮蔽114n-接触区(如果存在的话),以便仅敞开p-接触区。还应当指出,如鉴于本公开内容将显而易见的,在实施例中,Si包覆层322将在图3E-H中示出,其包括功能SiGe层318’/320’。
图3I示出了在进行蚀刻117以便从源极/漏极区中去除SiGe层318/320并形成源极/漏极腔312/314之后的图3H中的结构。如可以在图3I中看到的,重新形成的源极/漏极腔312/314可以是与在图3C中示出的源极/漏极腔相同或类似的腔。图3J示出了在沉积118p-型富Ge层324/326之后的图3I中的结构。图3J’示出了在已经在Si包覆层322上沉积p-型富Ge层324’/326’之后(在执行接触沟槽蚀刻116’之后)的得到的结构。在该实施例中,如先前所描述的,SiGe层318’/320’是功能p-型层。如本文中所描述的,随后可以在图3J/J’的源极/漏极区中沉积120金属插塞(未示出),以便为鳍式p-MOS器件提供接触部。由于版图和设计规则,将存在一些不具有接触沟槽或金属插塞的无源晶体管。这些虚设器件将在线末端保留原始沉积的源极/漏极材料。
例如,对于这些虚设器件,如在本文中不同地描述的SiGe层(不论是牺牲的、还是功能的并用Si层包覆的)可以存在于源极/漏极区中,并且如在本文中不同地描述的在源极/漏极接触沟槽蚀刻之后沉积的p-型富Ge层将不存在。
如将进一步意识到的,应当指出,对如示出的鳍式非平面配置的替代方案是双栅极架构,其将包括位于鳍状物310的顶部的电介质/隔离层。还应当指出,任何层(包括SiGe层318/320和318’/320’、Si包覆层322、以及富Ge层324/326和324’/326’)的示例形状并非旨在将本公开内容限制为任何特定的源极/漏极类型或形成工艺,鉴于本公开内容,其它形状(例如,可以实现圆的、方形的或矩形的区域)将是显而易见的。
图4和图4’示出了根据本公开内容的某些实施例形成的纳米线晶体管结构的透视图。与基于鳍状物的晶体管类似地配置纳米线晶体管(有时候被称为环绕式栅极FET),但是代替鳍状物使用了纳米线,并且栅极材料通常围绕所有侧上的沟道区。取决于具体设计,某些纳米线晶体管具有例如四个有效栅极。如可以看到的,图4示出了被形成为具有牺牲SiGe层的纳米线晶体管结构,并且图4’示出了被形成为具有功能SiGe层的纳米线晶体管结构,如本文中不同地描述的。图4和图4’示出了具有两条纳米线410的纳米线沟道架构,但是其它实施例可以具有任何数量的线。纳米线410可以例如利用p-沟道硅或锗或SiGe纳米线来实现。正如同在图3J中示出的鳍式配置,在图4中示出的纳米线配置包括在源极/漏极区中的Si衬底400上沉积富Ge层424/426。并且正如在图3J’中示出的鳍式配置,在图4’中示出的纳米线配置包括沉积在Si包覆层422(其沉积在功能p-型SiGe层418’/420’上)上的富Ge层424’/426’。
示例性系统
图5示出了利用根据本公开内容的一个或多个实施例所配置的一个或多个晶体管实现的计算系统1000。如可以看到的,计算系统1000容纳母板1002。母板1002可以包括若干部件,包括但不限于处理器1004和至少一个通信芯片1006,其中每一个都可以物理地和/或电气地耦合至母板1002,或者以其它方式集成在其中。如将意识到的,母板1002可以例如是任何印刷电路板,不管是主板还是安装在主板上的子板或仅仅系统1000的板、等等。
取决于其应用,计算系统1000可以包括可以或可以不物理地和电气地耦合至母板1002的一个或多个其它部件。这些其它部件可以包括但不限于易失性存储器(例如,DRAM)、非易失性存储器(例如,ROM)、图形处理器、数字信号存储器、密码存储器、芯片组、天线、触摸屏显示器、触摸屏控制器、电池、音频编码解码器、视频编码解码器、功率放大器、全球定位系统(GPS)设备、指南针、加速度计、陀螺仪、扬声器、相机、大容量储存设备(诸如,硬盘驱动器、压缩盘(CD)、数字多功能盘(DVD)等等)。计算系统1000中包括的部件中的任何部件都可以包括如在本文中不同地描述的一个或多个半导体器件结构(例如,包括具有直接沉积在Si表面上的富Ge层的源极/漏极区的p-MOS晶体管器件)。这些晶体管结构可以用于例如实现板载处理器缓存或存储器阵列。在某些实施例中,多个功能可以集成到一个或多个芯片中(比如,例如应当指出,通信芯片1006可以是处理器1004的部分或以其它方式集成到处理器1004中)。
通信芯片1006实现了用于将数据传输至计算系统1000并且从计算系统1000传输数据的无线通信。术语“无线”及其派生词可以用于描述可以通过使用穿过非固态介质的经调制的电磁辐射传送数据的电路、设备、系统、方法、技术、通信信道等。该术语并不暗示相关联的设备不包含任何导线,尽管在某些实施例中它们可能不包含。通信芯片1006可以实施若干无线标准或协议的任何标准或协议,这些标准或协议包括但不限于Wi-Fi(IEEE802.11系列)、WiMAX(IEEE 802.16系列)、IEEE 802.20、长期演进(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、蓝牙、其衍生物、以及被命名为3G、4G、5G、和之后的任何其它无线协议。计算系统1000可以包括多个通信芯片1006。例如,第一通信芯片1006可以专用于较短距离的无线通信(诸如NFC、Wi-Fi和蓝牙),而第二通信芯片1006可以专用于较长距离的无线通信(诸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO、及其它)。
计算系统1000的处理器1004包括封装在处理器1004内的集成电路。在某些实施例中,处理器的集成电路管芯包括利用如在本文中不同地描述的一个或多个晶体管结构来实现的板载存储电路。术语“处理器”可以指代对例如来自寄存器和/或存储器的电子数据进行处理以将该电子数据转换成可以存储在寄存器和/或存储器中的其它电子数据的任何设备或设备中的一部分。
通信芯片1006还可以包括封装在通信芯片1006内的集成电路管芯。根据某些这种示例的实施例,通信芯片的集成电路管芯包括利用如在本文中不同地描述的一个或多个晶体管结构(例如,芯片上处理器或存储器)实现的一个或多个器件。如鉴于本公开内容将意识到的,应当指出,多标准的无线能力可以直接集成到处理器1004中(例如,其中将任何芯片1006的功能集成到处理器1004中,而不是具有分离的通信芯片)。还应当指出,处理器1004可以是具有这种无线能力的芯片组。简言之,可以使用任何数量的处理器1004和/或通信芯片1006。同样,任何一个芯片或芯片组可以具有集成在其中的多个功能。
在各个实施方式中,计算系统1000可以是膝上型计算机、上网本、笔记本、智能电话、平板设备、个人数字助理(PDA)、超级移动PC、移动电话、台式计算机、服务器、打印机、扫描仪、监视器、机顶盒、娱乐控制单元、数码相机、便携式音乐播放器、或数字视频录像机。在另外的实施方式中,计算系统1000可以是处理数据或采用如在本文中不同地描述的一个或多个晶体管器件的任何其它电子设备。
另外的示例实施例
下面的示例涉及另外的实施例,根据这些实施例,许多排列和配置将是显而易见的。
示例1是一种晶体管器件,包括:衬底,所述衬底具有沟道区;栅极电极,所述栅极电极位于所述沟道区上方;以及源极/漏极区,所述源极/漏极区形成在所述衬底上和/或所述衬底中并邻近所述沟道区,所述源极/漏极区中的每个都包括直接沉积在硅(Si)表面上的p-型富锗(Ge)层,其中,所述p-型富Ge层包括至少50%的Ge。
示例2包括示例1的主题,其中,所述源极/漏极区中的所述Si表面是所述衬底的表面。
示例3包括示例1的主题,其中,所述源极/漏极区中的所述Si表面是沉积在p-型硅锗(SiGe)层上的Si包覆层的表面。
示例4包括示例3的主题,其中,所述p-型SiGe层包括30-70%的Ge。
示例5包括示例1-4中任何示例的主题,其中,所述p型富Ge层包括硅锗(SiGe)。
示例6包括示例1-4中任何示例的主题,其中,所述p型富Ge层包括具有多达15%的Sn的锗锡(GeSn)。
示例7包括示例6的主题,其中,所述p型富Ge层还包括多达5%的Si。
示例8包括示例1-7中任何示例的主题,其中,所述p型富Ge层是以高于1E20cm-3的水平掺杂硼(B)的。
示例9包括示例1-8中任何示例的主题,其中,所述Si表面是未掺杂的或者具有低于1E19cm-3的掺杂水平。
示例10包括示例1-9中任何示例的主题,还包括金属-锗化物源极/漏极接触部。
示例11包括一种CMOS器件,所述CMOS器件包括n-MOS器件和示例1-10中任何示例的主题。
示例12包括示例1-11中任何示例的主题,其中,所述器件具有平面配置、鳍式配置、纳米线配置、或纳米带配置。
示例13包括一种集成电路,所述集成电路包括示例1-12中任何示例的主题。
示例14包括示例13的主题,还包括另外的源极/漏极区,其中,所述另外的源极/漏极区缺乏金属接触部并包括硅锗(SiGe)层。
示例15包括示例14的主题,其中,所述SiGe层包括15-30%的Ge并且是未掺杂的。
示例16包括示例14的主题,还包括Si包覆层,所述Si包覆层沉积在所述SiGe层上,其中,所述SiGe层包括30-70%的Ge并且是p-型掺杂的。
示例17包括一种计算系统,所述计算系统包括示例1-16中任何示例的主题。
示例18是一种用于形成晶体管器件的方法,所述方法包括:在具有沟道区的硅(Si)衬底上执行浅沟槽隔离(STI);在所述沟道区上方形成栅极叠置体;在邻近所述沟道区的源极/漏极区中沉积牺牲硅锗(SiGe)层;在所述栅极叠置体和所述源极/漏极区的形貌上方沉积绝缘体材料;执行源极/漏极接触沟槽蚀刻;蚀刻以从所述源极/漏极接触沟槽中去除所述牺牲SiGe层并且重新暴露所述Si衬底的表面;以及在所述Si衬底的所述重新暴露的表面上的所述源极/漏极接触沟槽中沉积p-型富锗(Ge)层,其中,所述p-型富Ge层包括至少50%的Ge。
示例19包括示例18的主题,还包括:在所述栅极叠置体和所述源极/漏极区的形貌上方沉积绝缘体材料之后,执行栅极替代工艺以替代所述栅极叠置体。
示例20包括示例18-19中任何示例的主题,还包括:在执行源极/漏极接触沟槽蚀刻之前遮蔽n-型区以仅暴露p-接触区。
示例21包括示例18-20中任何示例的主题,还包括:在所述p-型富锗层上沉积金属源极/漏极接触部。
示例22包括示例18-21中任何示例的主题,其中,在低于500摄氏度的温度下沉积所述p-型富Ge层。
示例23包括示例18-22中任何示例的主题,其中,蚀刻以去除所述牺牲SiGe层包括使用SiGe蚀刻,所述SiGe蚀刻对硅(Si)和绝缘体材料具有选择性。
示例24包括示例18-23中任何示例的主题,其中,蚀刻以去除所述牺牲SiGe层是包括水、硝酸、有机酸、和/或氢氟酸的湿法蚀刻。
示例25包括示例18-24中任何示例的主题,其中,所述晶体管器件是p-MOS器件或CMOS器件。
示例26包括示例18-25中任何示例的主题,其中,所述器件具有平面配置、鳍式配置、纳米线配置、或纳米带配置。
示例27包括示例18-26中任何示例的主题,其中,所述SiGe层包括15-30%的Ge并且是未掺杂的。
示例28包括示例18-27中任何示例的主题,其中,所述p型富Ge层包括硅锗(SiGe)。
示例29包括示例18-27中任何示例的主题,其中,所述p型富Ge层包括具有多达15%的Sn的锗锡(GeSn)。
示例30包括示例29的主题,其中,所述p型富Ge层还包括多达5%的Si。
示例31包括示例18-30中任何示例的主题,其中,所述p型富Ge层是以高于1E20cm-3的水平掺杂硼(B)的。
示例32是一种用于形成晶体管器件的方法,所述方法包括:在具有沟道区的衬底上执行浅沟槽隔离(STI);在所述沟道区上方形成栅极叠置体;在邻近于所述沟道区的源极/漏极区中沉积p-型硅锗(SiGe)层;在所述p-型SiGe层上沉积硅(Si)包覆层;在所述栅极叠置体和所述源极/漏极区的形貌上方沉积绝缘体材料;执行源极/漏极接触沟槽蚀刻;以及在所述源极/漏极接触沟槽中的所述Si包覆层上沉积p-型富锗(Ge)层,其中,所述p-型富Ge层包括至少50%的Ge。
示例33包括示例32的主题,还包括:在所述栅极叠置体和所述源极/漏极区的形貌上方沉积绝缘体材料之后,执行栅极替代工艺以替代所述栅极叠置体。
示例34包括示例32-33中任何示例的主题,还包括:在执行源极/漏极接触沟槽蚀刻之前遮蔽n-型区以仅暴露p-接触区。
示例35包括示例32-34中任何示例的主题,还包括:在所述p-型富Ge层上沉积金属源极/漏极接触部。
示例36包括示例32-35中任何示例的主题,其中,在低于500摄氏度的温度下沉积所述p-型富Ge层。
示例37包括示例32-36中任何示例的主题,还包括:在沉积所述绝缘体层之前在所述栅极叠置体和所述源极/漏极区的形貌上方沉积蚀刻停止层,其中,所述蚀刻停止层有助于在源极/漏极接触沟槽蚀刻期间保护所述Si包覆层。
示例38包括示例37的主题,其中,所述蚀刻停止层是氮化物材料或碳化物材料中的一种。
示例39包括示例32-38中任何示例的主题,其中,所述晶体管器件是p-MOS器件或CMOS器件。
示例40包括示例32-39中任何示例的主题,其中,所述器件具有平面配置、鳍式配置、纳米线配置、或纳米带配置。
示例41包括示例32-40中任何示例的主题,其中,所述SiGe层包括30-70%的Ge。
示例42包括示例32-41中任何示例的主题,其中,所述p型富Ge层包括硅锗(SiGe)。
示例43包括示例32-42中任何示例的主题,其中,所述p型富Ge层包括具有多达15%的Sn的锗锡(GeSn)。
示例44包括示例43的主题,其中,所述p型富Ge层还包括多达5%的Si。
示例45包括示例32-44中任何示例的主题,其中,所述p型富Ge层是以高于1E20cm-3的水平掺杂硼(B)的。
为了例示和描述的目的已经呈现了示例性实施例的先前描述。其并非旨在是详尽的或将本公开内容限制为所公开的精确形式。鉴于本公开内容,许多修改和变型是可能的。旨在本公开内容的范围并非由具体实施方式来限制,而是由其所附的权利要求书来限制。要求本申请的优先权的将来提交的申请可以以不同的方式要求所公开的主题,并且可以通常包括如本文中不同地公开的或者以其它方式证明的一个或多个限制的任何集合。

Claims (25)

1.一种晶体管器件,包括:
衬底,所述衬底具有沟道区;
栅极电极,所述栅极电极位于所述沟道区上方;以及
源极/漏极区,所述源极/漏极区形成在所述衬底上和/或所述衬底中并且邻近于所述沟道区,所述源极/漏极区中的每个都包括直接沉积在硅(Si)表面上的p-型富锗(Ge)层,其中,所述p-型富Ge层包括至少50%的Ge。
2.根据权利要求1所述的器件,其中,所述源极/漏极区中的所述Si表面是所述衬底的表面。
3.根据权利要求1所述的器件,其中,所述源极/漏极区中的所述Si表面是沉积在p-型硅锗(SiGe)层上的Si包覆层的表面。
4.根据权利要求3所述的器件,其中,所述p-型SiGe层包括30-70%的Ge。
5.根据权利要求1所述的器件,其中,所述p型富Ge层包括硅锗(SiGe)。
6.根据权利要求1所述的器件,其中,所述p型富Ge层包括具有多达15%的Sn的锗锡(GeSn)。
7.根据权利要求6所述的器件,其中,所述p型富Ge层还包括多达5%的Si。
8.根据权利要求1所述的器件,其中,所述p型富Ge层是以高于1E20cm-3的水平掺杂硼(B)的。
9.根据权利要求1所述的器件,其中,所述Si表面是未掺杂的或具有低于1E19cm-3的掺杂水平。
10.根据权利要求1所述的器件,还包括金属-锗化物源极/漏极接触部。
11.一种CMOS器件,所述CMOS器件包括n-MOS器件和根据权利要求1所述的器件。
12.根据权利要求1所述的器件,其中,所述器件具有平面配置、鳍式配置、纳米线配置、或纳米带配置。
13.一种集成电路,所述集成电路包括权利要求1-12中任一项所述的器件。
14.根据权利要求13所述的集成电路,还包括另外的源极/漏极区,其中,所述另外的源极/漏极区缺乏金属接触部并包括硅锗(SiGe)层。
15.一种计算系统,所述计算系统包括根据权利要求1-12中任一项所述的器件。
16.一种用于形成晶体管器件的方法,所述方法包括:
在具有沟道区的硅(Si)衬底上执行浅沟槽隔离(STI);
在所述沟道区上方形成栅极叠置体;
在邻近于所述沟道区的源极/漏极区中沉积牺牲硅锗(SiGe)层;
在所述栅极叠置体和所述源极/漏极区的形貌上方沉积绝缘体材料;
执行源极/漏极接触沟槽蚀刻;
蚀刻以从所述源极/漏极接触沟槽中去除所述牺牲SiGe层并且重新暴露所述Si衬底的表面;以及
在所述源极/漏极接触沟槽中、在所述Si衬底的所述重新暴露的表面上沉积p-型富锗(Ge)层,其中,所述p-型富Ge层包括至少50%的Ge。
17.根据权利要求16所述的方法,其中,在低于500摄氏度的温度下沉积所述p-型富Ge层。
18.根据权利要求16所述的方法,其中,蚀刻以去除所述牺牲SiGe层包括使用SiGe蚀刻,所述SiGe蚀刻对硅(Si)和绝缘体材料具有选择性。
19.根据权利要求16所述的方法,其中,蚀刻以去除所述牺牲SiGe层是包括水、硝酸、有机酸、和/或氢氟酸的湿法蚀刻。
20.根据权利要求16-19中任一项所述的方法,其中,所述SiGe层包括15-30%的Ge并且是未掺杂的。
21.一种用于形成晶体管器件的方法,所述方法包括:
在具有沟道区的衬底上执行浅沟槽隔离(STI);
在所述沟道区上方形成栅极叠置体;
在邻近于所述沟道区的源极/漏极区中沉积p-型硅锗(SiGe)层;
在所述p-型SiGe层上沉积硅(Si)包覆层;
在所述栅极叠置体和所述源极/漏极区的形貌上方沉积绝缘体材料;
执行源极/漏极接触沟槽蚀刻;以及
在所述源极/漏极接触沟槽中的所述Si包覆层上沉积p-型富锗(Ge)层,其中,所述p-型富Ge层包括至少50%的Ge。
22.根据权利要求21所述的方法,其中,在低于500摄氏度的温度下沉积所述p-型富Ge层。
23.根据权利要求21所述的方法,还包括:在沉积所述绝缘体层之前在所述栅极叠置体和所述源极/漏极区的形貌上方沉积蚀刻停止层,其中,所述蚀刻停止层有助于在源极/漏极接触沟槽蚀刻期间保护所述Si包覆层。
24.根据权利要求23所述的方法,其中,所述蚀刻停止层是氮化物材料或碳化物材料中的一种。
25.根据权利要求21-24中任一项所述的方法,其中,所述SiGe层包括30-70%的Ge。
CN201480075937.3A 2014-03-21 2014-03-21 用于集成富Ge的p‑MOS源极/漏极接触部的技术 Pending CN106062962A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2014/031486 WO2015142357A1 (en) 2014-03-21 2014-03-21 Techniques for integration of ge-rich p-mos source/drain contacts

Publications (1)

Publication Number Publication Date
CN106062962A true CN106062962A (zh) 2016-10-26

Family

ID=54145116

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480075937.3A Pending CN106062962A (zh) 2014-03-21 2014-03-21 用于集成富Ge的p‑MOS源极/漏极接触部的技术

Country Status (6)

Country Link
US (3) US9859424B2 (zh)
EP (1) EP3120388A4 (zh)
KR (1) KR102167519B1 (zh)
CN (1) CN106062962A (zh)
TW (1) TWI673871B (zh)
WO (1) WO2015142357A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107991599A (zh) * 2017-11-24 2018-05-04 长江存储科技有限责任公司 一种用于叉指状栅goi结构漏电点精确定位的方法
CN114334830A (zh) * 2021-12-31 2022-04-12 无锡物联网创新中心有限公司 一种肖特基结源漏CMOS finFET及其制作方法

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3120388A4 (en) 2014-03-21 2017-11-29 Intel Corporation Techniques for integration of ge-rich p-mos source/drain contacts
US10269968B2 (en) * 2015-06-03 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
WO2017052591A1 (en) * 2015-09-25 2017-03-30 Intel Corporation Resistance reduction under transistor spacers
CN108028276B (zh) * 2015-09-25 2022-04-26 英特尔公司 晶体管沟道区域界面的钝化
CN108292674B (zh) * 2015-12-24 2022-05-13 英特尔公司 形成掺杂源极/漏极触点的方法及由其形成的结构
US11088033B2 (en) 2016-09-08 2021-08-10 International Business Machines Corporation Low resistance source-drain contacts using high temperature silicides
EP3312883B1 (en) 2016-10-19 2021-12-29 IMEC vzw Semiconductor devices with increased charge carrier concentration
WO2018080513A1 (en) * 2016-10-28 2018-05-03 Intel Corporation Local interconnect for group iv source/drain regions
WO2018125035A1 (en) * 2016-12-27 2018-07-05 Intel Corporation Transistors including final source/drain material processed after replacement gate processing
US10121675B2 (en) 2016-12-29 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and a method for fabricating the same
US10319722B2 (en) 2017-03-22 2019-06-11 International Business Machines Corporation Contact formation in semiconductor devices
US10347581B2 (en) 2017-03-22 2019-07-09 International Business Machines Corporation Contact formation in semiconductor devices
WO2018182749A1 (en) * 2017-04-01 2018-10-04 Intel Corporation Germanium-rich channel transistors including one or more dopant diffusion barrier elements
US20190348501A1 (en) * 2017-04-01 2019-11-14 Intel Corporation Germanium-rich channel transistors including carbon-based dopant diffusion barrier
KR102391512B1 (ko) 2017-08-17 2022-04-27 삼성전자주식회사 반도체 소자
US10164041B1 (en) 2017-10-23 2018-12-25 Globalfoundries Inc. Method of forming gate-all-around (GAA) FinFET and GAA FinFET formed thereby
US10153159B1 (en) * 2017-11-30 2018-12-11 International Business Machines Corporation Source and drain formation using self-aligned processes
US11189730B2 (en) * 2017-12-26 2021-11-30 Intel Corporation Non-selective epitaxial source/drain deposition to reduce dopant diffusion for germanium nMOS transistors
US11404578B2 (en) * 2018-06-22 2022-08-02 Intel Corporation Dielectric isolation layer between a nanowire transistor and a substrate
US11374100B2 (en) * 2018-06-28 2022-06-28 Intel Corporation Source or drain structures with contact etch stop layer
US11342411B2 (en) 2018-06-29 2022-05-24 Intel Corporation Cavity spacer for nanowire transistors
US11552169B2 (en) * 2019-03-27 2023-01-10 Intel Corporation Source or drain structures with phosphorous and arsenic co-dopants
US10978344B2 (en) * 2019-08-23 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Melting laser anneal of epitaxy regions
CN111029406A (zh) * 2019-11-14 2020-04-17 中国科学院微电子研究所 一种半导体器件及其制备方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101317253A (zh) * 2005-11-28 2008-12-03 Nxp股份有限公司 用于半导体器件的自对准肖特基结的制造方法
US8021986B2 (en) * 2009-06-25 2011-09-20 Commissariat à l'énergie atomique et aux energies alternatives Method for producing a transistor with metallic source and drain

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6952040B2 (en) * 2001-06-29 2005-10-04 Intel Corporation Transistor structure and method of fabrication
JP4369359B2 (ja) * 2004-12-28 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置
US7195969B2 (en) * 2004-12-31 2007-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Strained channel CMOS device with fully silicided gate electrode
JP2007214481A (ja) 2006-02-13 2007-08-23 Toshiba Corp 半導体装置
JP5141029B2 (ja) 2007-02-07 2013-02-13 富士通セミコンダクター株式会社 半導体装置とその製造方法
US7544997B2 (en) * 2007-02-16 2009-06-09 Freescale Semiconductor, Inc. Multi-layer source/drain stressor
US20090302348A1 (en) 2008-06-10 2009-12-10 International Business Machines Corporation Stress enhanced transistor devices and methods of making
JP2011066042A (ja) * 2009-09-15 2011-03-31 Panasonic Corp 半導体装置とその製造方法
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8901537B2 (en) * 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US20120205716A1 (en) * 2011-02-16 2012-08-16 International Business Machines Corporation Epitaxially Grown Extension Regions for Scaled CMOS Devices
TWI556439B (zh) 2011-12-20 2016-11-01 英特爾股份有限公司 用於pmos整合之第iv族電晶體
US10535735B2 (en) * 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
CN103594495A (zh) 2012-08-16 2014-02-19 中国科学院微电子研究所 半导体器件及其制造方法
US8710632B2 (en) * 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US9190418B2 (en) * 2014-03-18 2015-11-17 Globalfoundries U.S. 2 Llc Junction butting in SOI transistor with embedded source/drain
EP3120388A4 (en) 2014-03-21 2017-11-29 Intel Corporation Techniques for integration of ge-rich p-mos source/drain contacts

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101317253A (zh) * 2005-11-28 2008-12-03 Nxp股份有限公司 用于半导体器件的自对准肖特基结的制造方法
US8021986B2 (en) * 2009-06-25 2011-09-20 Commissariat à l'énergie atomique et aux energies alternatives Method for producing a transistor with metallic source and drain

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
SHINOBU TAKEHIRO, ET AL: "High-Performance pMOSFETs with High Ge Fraction Strained SiGe-Heterostructure Channel and Ultrashallow Source/Drain Formed by Selective B-Doped SiGe CVD", 《ELECTRICAL ENGINEERING IN JAPAN》 *
TADAYOSHI YAMASHIRO, ET AL: "Super self-aligned technology of ultra-shallow junction in MOSFETs using selective Si1−xGex CVD", 《MATERIALS SCIENCE AND ENGINEERING》 *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107991599A (zh) * 2017-11-24 2018-05-04 长江存储科技有限责任公司 一种用于叉指状栅goi结构漏电点精确定位的方法
CN114334830A (zh) * 2021-12-31 2022-04-12 无锡物联网创新中心有限公司 一种肖特基结源漏CMOS finFET及其制作方法
CN114334830B (zh) * 2021-12-31 2023-09-29 无锡物联网创新中心有限公司 一种肖特基结源漏CMOS finFET及其制作方法

Also Published As

Publication number Publication date
US10541334B2 (en) 2020-01-21
EP3120388A4 (en) 2017-11-29
TW201543676A (zh) 2015-11-16
US20180145174A1 (en) 2018-05-24
US20190109234A1 (en) 2019-04-11
EP3120388A1 (en) 2017-01-25
US10147817B2 (en) 2018-12-04
KR20160136285A (ko) 2016-11-29
US9859424B2 (en) 2018-01-02
WO2015142357A1 (en) 2015-09-24
US20170012124A1 (en) 2017-01-12
TWI673871B (zh) 2019-10-01
KR102167519B1 (ko) 2020-10-19

Similar Documents

Publication Publication Date Title
CN106062962A (zh) 用于集成富Ge的p‑MOS源极/漏极接触部的技术
CN104011870B (zh) 减小的接触电阻的自对准接触金属化
CN103270597B (zh) 用于pmos集成的iv族晶体管
KR102397178B1 (ko) 단일 다이 상에 다수의 트랜지스터 핀 치수들을 얻기 위한 기술들
KR101790605B1 (ko) Iii-v 반도체 재료 층을 갖는 반도체 디바이스
US10340363B2 (en) Fabrication of vertical field effect transistors with self-aligned bottom insulating spacers
KR102216424B1 (ko) 유효 게이트 길이를 증가시킴으로써 트랜지스터 채널에 걸쳐 게이트 제어를 개선하는 기술들
JP2020526018A (ja) 半導体デバイスを製造するための方法および半導体デバイス
TW201732946A (zh) 用於nmos源極/汲極區域的結晶碳化矽替代材料
TW201814901A (zh) 具晶格匹配閘極結構之電晶體
TWI556439B (zh) 用於pmos整合之第iv族電晶體
TWI749010B (zh) 氮化鎵及自對齊薄體第iv族電晶體之共整合技術

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication

Application publication date: 20161026

RJ01 Rejection of invention patent application after publication