CN108091594A - 基板处理装置、喷射器以及基板处理方法 - Google Patents

基板处理装置、喷射器以及基板处理方法 Download PDF

Info

Publication number
CN108091594A
CN108091594A CN201711097184.8A CN201711097184A CN108091594A CN 108091594 A CN108091594 A CN 108091594A CN 201711097184 A CN201711097184 A CN 201711097184A CN 108091594 A CN108091594 A CN 108091594A
Authority
CN
China
Prior art keywords
gas
scavenging
injector
board treatment
process container
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201711097184.8A
Other languages
English (en)
Other versions
CN108091594B (zh
Inventor
古泽纯和
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN108091594A publication Critical patent/CN108091594A/zh
Application granted granted Critical
Publication of CN108091594B publication Critical patent/CN108091594B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明涉及基板处理装置、喷射器以及基板处理方法。即使在进行急剧的气体导入的情况下也能够抑制微粒被带入处理容器内。将基板处理装置构成为具有:处理容器,其用于收容多个基板;气体供给部,其用于将气体供给到所述处理容器内;以及排气部,其用于将所述处理容器内的气体排出。该基板装置还具备用于对所述气体供给部内进行扫气的扫气部,所述气体供给部连接于所述扫气部。

Description

基板处理装置、喷射器以及基板处理方法
技术领域
本发明涉及基板处理装置、喷射器以及基板处理方法。
背景技术
在通过原子层沉积(ALD:Atomic Layer deposition)等处理对基板进行成膜处理的基板处理装置中,为了向反应容器内瞬时地供给反应气体,预先在缓冲罐等中贮留供给气体,一边利用质量流量控制器(MFC)等调整流量,一边利用气体喷嘴等喷射器向反应容器内供给气体。
在日本特开2009-295729号公报(专利文献1)中公开了如下一种基板处理装置:具备用于收容并处理多片基板的反应管、用于向反应管内供给气体的气体喷嘴以及用于控制供给气体的流量的质量流量控制器,通过ALD法对基板进行成膜处理。
专利文献1:日本特开2009-295729号公报
发明内容
发明要解决的问题
然而,关于专利文献1中记载的以往的基板处理装置,直到流量稳定为止会耗费时间,在此期间存在进行急剧的气体的导入的情况。如果在气体流量稳定之前进行急剧的气体导入,则存在以下问题:附着于气体喷嘴的副产物、在气体喷嘴内形成的膜的剥离物、由于被剥离的膜的应力而在气体喷嘴内破裂的石英片等作为微粒(灰尘、污垢等)被带入反应容器内,这些微粒附着于基板。
此外,为了防止将这种微粒带入反应管内,以往进行了以下作业:将基板处理装置的包括喷射器的气体供给线拆开后清洗并重新进行组装,但维护耗费工夫。另外,虽然也能够使反应气体流入气体喷嘴来将微粒源固化(成膜)从而防止微粒的产生,但会产生气体喷嘴的气孔等阻塞而无法进行原来的气体供给的问题。
因此,本发明的目的在于提供一种即使在进行急剧的气体导入的情况下也能够抑制微粒被带入处理容器内的基板处理装置。
用于解决问题的方案
为了实现上述目的,本发明的一个方式所涉及的基板处理装置具有:处理容器,其用于收容多个基板;气体供给部,其用于向处理容器内供给气体;以及排气部,其用于排出所述处理容器内的气体,该基板处理装置还具备用于对所述气体供给部内进行扫气的扫气部,所述气体供给部连接于所述扫气部。
发明的效果
根据本发明的一个方式,即使在进行急剧的气体导入的情况下也能够抑制微粒被带入处理容器内。
附图说明
图1是本发明的实施方式所涉及的基板处理装置的概要图。
图2是表示实施方式所涉及的基板处理装置的喷射器的一例的图。
图3是表示实施方式所涉及的基板处理装置的喷射器的另一例的图。
图4是表示实施方式所涉及的基板处理装置的喷射器的另一例的图。
图5是表示实施方式所涉及的基板处理装置的喷射器的另一例的图。
图6是表示实施方式所涉及的基板处理装置的喷射器的另一例的图。
图7是表示实施方式所涉及的基板处理装置的喷射器的另一例的图。
图8是表示实施方式所涉及的基板处理方法的一例的流程图。
图9是表示在ALD处理的最初的处理步骤中供给了气体时的基板表面上的微粒分布的图,(A)表示使用了以往的基板处理装置的情况下的微粒分布,(B)表示使用了本实施方式的基板处理装置的情况下的微粒分布。
附图标记说明
1:成膜装置;4:反应容器;40:气体供给线;43:气体喷嘴;44:开闭阀;45:流量控制器;46:贮留部;47:开闭阀;48:气体喷出孔;49A:开口部;49B:开口部;50:扫气线;70:排气线;90:控制部;W:晶圆。
具体实施方式
以下,参照附图对用于实施本发明的方式进行说明。此外,在本说明书和各图中有时对相同的结构附加同一附图标记并省略说明。
图1是作为实施方式所涉及的基板处理装置的一例的成膜装置1的概要图。如图1所示,成膜装置1具有反应容器4、气体供给线40以及排气线70。成膜装置1还具有用于加热晶圆W的未图示的加热单元。
反应容器4用于收容作为基板的半导体晶圆(以下称为“晶圆W”)。反应容器4具有双重管构造,该双重管构造具有长边方向为铅垂方向的大致圆筒形,并且具备具有顶面的外筒6和同心地配置在外筒6的内侧的圆筒体的内筒8。外筒6和内筒8由石英等耐热性材料形成。此外,反应容器4是构成本发明所涉及的基板处理装置的一部分的处理容器的一例。
外筒6和内筒8各自的下端部被由不锈钢等形成的岐管10保持。岐管10被固定于基体板12。此外,岐管10既可以用与外筒6和内筒8不同的构件形成,也可以与外筒6和内筒8一体地形成。
例如,在图1中将岐管形成为反应容器4的一部分,使得与外筒6及内筒8一起形成大致圆筒的内部空间。在该情况下,反应容器4具备由石英等耐热性材料形成的外筒6和内筒8以及由不锈钢等形成的岐管10,岐管10以从下方保持外筒6和内筒8的方式设置在反应容器4的侧面下部。
在岐管10的下端部设置有气密地堵塞反应容器4的下端的开口部侧的盖部14。盖部14形成为由不锈钢等形成的圆盘状,并且以能够气密密封的方式隔着O-环等未图示的密封构件被安装。
另外,在盖部14的大致中心部贯穿有旋转轴20,该旋转轴20一边利用未图示的磁性流体密封件等保持气密状态一边能够进行旋转。旋转轴20的下端连接于旋转机构22,在旋转轴20的上端例如固定有由不锈钢形成的台24。
在台24上设置有由石英等耐热性材料形成的保温筒26。另外,在保温筒26上载置有由石英等耐热性材料形成的晶舟28来作为支承件。
晶舟28是用于将多片晶圆W以规定的间隔保持并收容在反应容器4内的基板保持件。多片(例如50片~175片)晶圆W等基板以规定的间隔、例如10mm左右的间距被收容于晶舟28。晶舟28、保温筒26、台24以及盖部14例如利用作为晶舟升降机发挥功能的升降机构30在反应容器4内成为一体,从而被搬入(装载)到反应容器4内、从反应容器4搬出(卸载)。
另外,气体供给线40向反应容器4内供给规定的气体(例如,含硅气体等处理气体)。向反应容器4内供给处理气体。气体供给线40具有作为处理气体的供给源的气体供给源41、气体配管42以及气体喷嘴43。此外,气体供给线40是构成本发明所涉及的基板处理装置的一部分的气体供给部的一例。气体喷嘴43是构成该气体供给部的一部分的喷射器的一例。
气体配管42是将气体供给源41与气体喷嘴43相连接来向气体喷嘴43引导来自气体供给源41的处理气体的配管。在气体配管42中设置有开闭阀44、质量流量控制器(MFC)等流量控制器45、贮留部(缓冲罐)46以及开闭阀47。
例如,在开闭阀47关闭状态下将开闭阀44打开,通过从气体供给源41以规定的流量流出处理气体,能够将处理气体贮留(充气)到贮留部46。在将处理气体贮留到贮留部46之后,将开闭阀44关闭并将开闭阀47打开,由此能够经由气体喷嘴43向反应容器4内供给规定量的处理气体。由此控制处理气体的供给的开始/停止以及流量。
气体喷嘴43是与气体配管42连接,沿岐管10的侧壁向内侧贯穿并向上方弯曲后垂直地延伸的喷嘴,例如由石英管形成。在气体喷嘴43的垂直部分,沿其长边方向以规定的间隔形成有多个气体喷出孔48,能够从各气体喷出孔48沿水平方向朝向反应容器4内大致均匀地喷出处理气体。即,能够沿与晶圆W的表面平行的方向供给处理气体。此外,气体喷嘴43并不限于一个,也可以设置两个以上。
另外,也可以设置用于供给与用气体供给线40供给的处理气体不同的处理气体(例如,含氮气体)的未图示的其它气体供给线。在该情况下,也能够与气体供给线40的结构同样地将其它气体供给线设为具有未图示的气体供给源、气体配管以及气体喷嘴的结构。
在该其它气体供给线中,气体喷嘴也设为与气体配管连接,沿岐管10的侧壁向内侧贯穿并向上方弯曲后垂直地延伸的喷嘴,例如也由石英管形成。能够构成为在其它气体喷嘴的垂直部分沿其长边方向以规定的间隔形成多个气体喷出孔,从各气体喷出孔沿水平方向朝向反应容器4大致均匀地喷出其它处理气体,从而能够沿与晶圆W的表面平行的方向供给含氮气体。此外,在其它气体供给线中,气体喷嘴也并不限于一个,也可以设置两个以上。
另外,也可以将气体供给线40的气体喷嘴兼用于构成其它气体供给线的气体喷嘴。在该情况下,只要设为以下结构即可:在气体配管中设置未图示的开闭阀、质量流量控制器(MFC)等流量控制器、贮留部(缓冲罐)以及开闭阀,使贮留部的开闭阀的下游与气体供给线40的开闭阀47的下游汇合。由此,也能够在其它气体供给线中控制气体的供给的开始/停止以及流量。
另外,虽然未图示,但也可以设置用于向反应容器4中供给吹扫气体的吹扫气体供给线。吹扫气体供给线能够设为具有吹扫气体供给源、吹扫气体配管以及吹扫气体喷嘴的结构。
吹扫气体配管是将吹扫气体供给源与吹扫气体喷嘴相连接来向吹扫气体喷嘴引导来自吹扫气体供给源的吹扫气体的配管。在吹扫气体配管中设置用于控制吹扫气体的流量的流量控制器和开闭阀,来控制吹扫气体的供给的开始/停止以及流量。吹扫气体喷嘴是与吹扫气体配管连接,沿岐管10的侧壁向内侧贯穿的直线形状(直管形状)的喷嘴,例如能够由石英管形成。
排气线70用于排出反应容器4内的气体。排气线70与设置于岐管10的上部的气体出口32连结。排气线70包括连接于气体出口32的排气通路71、在排气通路71的途中依次连接的压力调整阀72以及真空泵73。在本例中,压力调整阀72将压力计和开闭阀一体地构成,但也可以将压力计和开闭阀各自分开地构成。能够利用该排气线70一边调整反应容器4内的氛围气体的压力一边进行排气。此外,排气线70是构成本发明的基板处理装置的一部分的排气部的一例。
在反应容器4的外周侧,作为加热单元设置有以包围反应容器4的方式加热晶圆W的未图示的加热装置。加热装置具有圆筒体的隔热层,该圆筒体具有顶面。隔热层例如能够由导热性低、柔软无定形的二氧化硅和氧化铝的混合物形成。隔热层能够配置为其内周相对于反应容器4的外表面隔开规定的距离。
另外,在隔热层的外周能够以覆盖隔热层的整个外周的方式安装由不锈钢等形成的未图示的保护层。另外,在隔热层的内周侧以螺旋状地卷绕的方式配置未图示的加热元件。加热元件能够以遍及侧面的轴向整体地卷绕的方式设置于隔热层的内周侧。
加热元件能够在轴向上分割为多个区段(例如四个区段)。能够设为以下结构:基于由按每个区段设置于隔热层的未图示的热电偶检测出的温度来按每个区段独立地控制温度。
由计算机等控制部90进行成膜装置1的各结构部的控制。控制部90例如利用气体供给线40中的开闭阀44、47的开闭来进行处理气体的供给/停止的控制、利用流量控制器45进行气体的流量的控制、利用排气线70中的压力调整阀72和真空泵73进行反应容器4内的压力的控制以及加热装置中的温度的控制。
控制部90连接有存储部91,该存储部91保存有用于通过控制部90的控制实现由成膜装置1执行的各种处理的控制程序、用于根据处理条件使成膜装置1的各结构部执行处理的各种程序(或制程)。程序中包含使成膜装置1执行后述的成膜方法的程序。另外,各种程序能够存储于存储介质并保存于存储部91。存储介质既可以是硬盘、半导体存储器,也可以是CD-ROM、DVD、快闪存储器等便携式的存储器。另外,也可以从其它装置例如经由专用线路向存储部91适当地传送制程。
在本实施方式中,如图1所示,扫气线50连接于气体供给线40。扫气线50是用于对气体供给线40内进行扫气的扫气部的一例。扫气线50与气体供给线40的气体喷嘴43连结。在扫气线50中设置有气体配管51和开闭阀52。另外,在扫气线50中能够设置真空泵。
气体配管51连接于气体供给线40,能够使对气体供给线40内进行扫气后的扫气气体通过。开闭阀52设置在气体配管51的途中,能够与真空泵连动地控制与扫气线50连接的气体供给线40的气体喷嘴43内的压力。
此外,也可以将排气线70的真空泵73兼用于与扫气线50的开闭阀52连动的真空泵。在该情况下,扫气线50连接于排气线70。具体地说,在排气线70的压力调整阀72与真空泵73之间连接扫气线50的气体配管51。根据该结构,能够用一个真空泵控制排气线和扫气线,因此即使在设置扫气线的情况下也能够使用现有的真空泵,能够防止装置的复杂化。
图2~图7是分别说明构成本实施方式的气体供给线40的一部分的气体喷嘴43的结构例(第一实施方式~第六实施方式)的图。
首先,在第一实施方式中,如图1和图2所示,气体喷嘴43的至少一方的端部与气体供给线40的气体配管42连通,另一方的端部与扫气线50连通。另外,气体喷嘴43的气体喷出孔48与反应容器4内连通,并且由构成向反应容器4内供给处理气体的供给口的多个气孔形成。
在气体喷嘴43的两端部设置有开口部49(开口部49A、49B)。设置于一方的端部的开口部49A构成从气体供给线40的气体配管42向气体喷嘴43内导入处理气体的导入口。另外,设置于另一方的端部的开口部49B构成向扫气线50的气体配管51排出扫气气体的排出口。即,气体喷嘴43经由开口部49A来与气体供给线40连通,经由开口部49B来与扫气线50连通。
在该结构中,在气体喷嘴43中与用于向反应容器4内供给处理气体的供给口(气体喷出孔48)分开地设置有用于将被导入到气体喷嘴43内的处理气体进行扫气的排出口(开口部49B),从而能够经由该排出口将扫气线50连接于气体喷嘴43。因此,能够将作为产生微粒的原因的微粒源(附着于气体喷嘴的副产物、在气体喷嘴内形成的膜的剥离物、由于被剥离的膜的应力而在气体喷嘴内破裂的石英片等)从气体喷嘴43扫到扫气线50。
特别是即使在向反应容器内供给的处理气体的流量稳定之前进行急剧的处理气体的导入的情况下,也能够将微粒源从气体喷嘴43扫到扫气线50,因此能够抑制微粒被带入反应容器4内。
在第二实施方式中,如图1和图3所示,除了具有第一实施方式的结构以外,还具有以下结构:连接于气体喷嘴43的扫气线50的气体配管51延伸至气体喷嘴43的开口部49A(气体供给线40的气体配管42)的附近。根据该结构,能够将扫气线的气体配管51和气体供给线40的气体配管42集中安装于反应容器4(岐管10),因此扫气线50的气体配管51的配置变得容易。
另外,在第二实施方式中,如图3所示,气体供给线40的气体配管42在气体喷嘴43的开口部49A附近形成为L字形。即,气体配管42以端部弯曲的状态连接于气体喷嘴的开口部49A。根据该结构,能够将气体配管42安装于反应容器4的侧壁(岐管10),气体供给线40的配置作业变得容易。
在第三实施方式中,如图4所示,扫气线50(气体配管51)的一部分配置在气体喷嘴43的内部。即,利用扫气线50的气体配管51的一部分和气体喷嘴43来构成双重管。另外,在第三实施方式的结构中,扫气线50的气体配管51的一部分也配置在连接于气体喷嘴43的气体配管42的内部。根据该结构,能够省略配置扫气线50的气体配管51所需要的空间的一部分,因此能够使扫气线50的配置紧凑。
另外,在第四实施方式中,如图5所示,在采用了第三实施方式的结构的基础上还将利用扫气线50的气体配管51的一部分和连接于气体喷嘴43的气体配管42构成双重管的部分形成为L字形。根据该结构,能够更加紧凑地设置扫气线50。
在第五实施方式中,如图6所示,气体喷出孔48由一个气孔形成。在该情况下,关于气体喷嘴43,在气体喷嘴43的至少一方的端部处的反应容器4内形成气孔即可。这样,能够与气孔的数量无关地设置扫气线50。
另外,在第五实施方式的结构中,在气体喷嘴43的另一方的端部(与扫气线50连通的开口部49B侧)形成有气体喷出孔48。在这种结构中,气孔被配置在与扫气线50连通的开口部49B(排出口)的附近,因此能够有效地扫出气孔附近的包含微粒源的气体。
另外,在第六实施方式中,如图7所示,在采用了第五实施方式的结构的基础上还将利用扫气线50的气体配管51的一部分和连接于气体喷嘴43的气体配管42构成双重管的部分形成为L字形。根据该结构,能够使扫气线50进一步紧凑化。
接着,对使用了上述成膜装置1的本实施方式的基板处理方法的一例进行说明。图8是表示实施方式所涉及的基板处理方法的一例的流程图。
本实施方式的基板处理方法至少包括气体供给工序和扫气工序。在气体供给工序中从气体喷嘴43向用于收容多个晶圆W的反应容器4内供给处理气体。
在气体供给工序之前进行扫气工序,在扫气工序中,对气体喷嘴43内进行扫气。在扫气工序中将气体喷嘴43内的压力控制为负压。
按图8所示的过程来进行扫气工序。首先,在ST1中判定供给气体的处理是否为最初的处理步骤。在判定为不是最初的处理步骤的情况下,进入ST7,执行气体供给工序来进行普通的气体供给处理。
在ST1中判定为是最初的处理步骤的情况下,进入ST2,打开扫气线50。具体地说,在ST2中将扫气线50的开闭阀52设为打开状态,在ST3中将气体喷嘴43内设为负压。在该情况下,使开闭阀52与排气线70的真空泵73连动来对与扫气线50连通的气体喷嘴43内进行减压。此外,也可以同时进行扫气线50的开通(ST2)和气体喷嘴43内的减压(ST3)。
接着进入ST4,向流量控制器(MFC)45指示处理气体的流量。所指示的气体的流量能够调整为进行气体喷嘴43内的扫气的适当的流量。流量控制器(MFC)45基于被指示的流量来控制开闭阀44、47的开闭,控制在气体供给线40中流动的处理气体的流量并使处理气体流向气体供给线40(ST5)。
然后,关闭扫气线50。具体地说,将扫气线50的开闭阀52设为关闭状态(ST6)。
在上述ST1~ST6的过程完成之后进入ST7,来执行气体供给工序,从而进行普通的气体供给处理。此外,由上述控制部90进行ST1~ST7的过程中的控制。
另外,在扫气工序中将反应容器4内的压力控制为比气体喷嘴43内的压力高的压力。即,对扫气线50的开闭阀52、排气线70的压力调整阀72及真空泵73进行控制,使得气体喷嘴43内的压力小于反应容器4内的压力。
通过该控制,被导入到气体喷嘴43内的处理气体不从气体喷出孔48向反应容器4内喷出而直接流向扫气线50。因此,在扫气工序中,通过气体供给线40的扫气气体不向反应容器4内喷出就经由扫气线50排出到外部。
另外,通过该控制,反应容器4的氛围气体经由气体喷出孔48被抽吸到气体喷嘴43内。因此,能够将在气体喷嘴43的气体喷出孔48附近形成的微粒源、反应容器4内浮游的微粒取入扫气气体所要流经的气体喷嘴43。
通过实施进行这种控制的基板处理方法,即使在进行急剧的气体导入的情况下也能够抑制微粒被带入处理容器内。
接着,对使用本实施方式的基板处理装置(第二实施方式)实施了实施方式的基板处理方法的情况下的效果进行说明。图9是在ALD处理的最初的处理步骤中从基板处理装置的气体喷嘴供给了气体时的基板表面上的微粒分布。其中,图9的(A)示出使用了以往的基板处理装置的情况下的微粒分布,图9的(B)示出使用了本实施方式的基板处理装置的情况下的微粒分布。
首先,在使用了图9的(A)的以往的基板处理装置的情况下,附着于晶圆W的表面的微粒的量多。另外,附着于晶圆W的表面的微粒以偏向气体喷嘴43附近的方式附着在晶圆W上。
另一方面,与使用了以往的基板处理装置的情况相比,在使用了图9的(B)的本实施方式的基板处理装置的情况下,附着于晶圆W的表面的微粒的量较少。另外,附着于晶圆W的表面的微粒大致均匀地附着在晶圆W上。
根据这些结果获知,通过如本实施方式那样设置扫气线50来执行扫气工序,能够防止微粒被带入反应容器4内,能够抑制微粒附着于基板。另外,还获知即使微粒被带入反应容器4内,也能够防止该微粒偏向地附着于晶圆W的表面。
这样,通过经由排出口(开口部49B)将扫气线50连接于气体喷嘴43,作为产生微粒的原因的微粒源被从气体喷嘴43扫到扫气线50,能够减少被带入反应容器4内的微粒的量。因此,即使在进行急剧的气体导入的情况下也能够抑制微粒被带入反应容器4内,能够防止晶圆W的生产率下降。
此外,能够根据所形成的膜的种类来适当选择在本例中使用的气体的种类。另外,在本例中,以使用ALD法的情况为例进行了说明,但也能够在使用CVD法的情况下应用本发明。即,本例能够广泛地应用于在进行急剧的气体导入的环境中使用的成膜方法。
以上,对用于实施本发明的方式进行了说明,但本发明并不限定于上述的实施方式,能够在本发明的范围内进行各种变形和改良。

Claims (11)

1.一种基板处理装置,具有:
处理容器,其用于收容多个基板;
气体供给部,其用于将气体供给到所述处理容器内;以及
排气部,其用于将所述处理容器内的气体排出,
该基板处理装置还具备扫气部,该扫气部用于对所述气体供给部内进行扫气,
所述气体供给部连接于所述扫气部。
2.根据权利要求1所述的基板处理装置,其特征在于,
所述扫气部连接于所述排气部。
3.根据权利要求1或2所述的基板处理装置,其特征在于,
所述气体供给部具有喷射器,该喷射器将气体供给到所述处理容器内,
所述喷射器的至少一方的端部连接于所述扫气部。
4.根据权利要求3所述的基板处理装置,其特征在于,
所述扫气部的一部分配置在所述喷射器的内部。
5.根据权利要求3或4所述的基板处理装置,其特征在于,
所述喷射器形成有与所述处理容器内连通的多个气孔。
6.根据权利要求3至5中的任一项所述的基板处理装置,其特征在于,
关于所述喷射器,在所述喷射器的至少一方的端部形成有与所述处理容器内连通的气孔。
7.一种喷射器,将气体供给到用于收容多个基板的处理容器内,该喷射器具有:
导入口,其用于将气体导入到所述喷射器;
供给口,其用于将气体供给到所述处理容器内;以及
排出口,其与用于对所述喷射器内进行扫气的扫气线连通。
8.根据权利要求7所述的喷射器,其特征在于,
供给口由多个气孔构成。
9.根据权利要求7所述的喷射器,其特征在于,
所述供给口由在所述喷射器的至少一方的端部形成的气孔构成。
10.一种基板处理方法,包括气体供给工序,从喷射器将气体供给到用于收容多个基板的处理容器内,其中,
该基板处理方法在所述气体供给工序之前还包括对所述喷射器内进行扫气的扫气工序,
在所述扫气工序中,将所述喷射器内的压力控制为负压。
11.根据权利要求10所述的基板处理方法,其特征在于,
在所述扫气工序中,将所述处理容器内的压力控制为比所述喷射器内的压力高的压力。
CN201711097184.8A 2016-11-21 2017-11-09 基板处理装置、喷射器以及基板处理方法 Active CN108091594B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016226355A JP6804270B2 (ja) 2016-11-21 2016-11-21 基板処理装置、および基板処理方法
JP2016-226355 2016-11-21

Publications (2)

Publication Number Publication Date
CN108091594A true CN108091594A (zh) 2018-05-29
CN108091594B CN108091594B (zh) 2023-05-05

Family

ID=62144351

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711097184.8A Active CN108091594B (zh) 2016-11-21 2017-11-09 基板处理装置、喷射器以及基板处理方法

Country Status (5)

Country Link
US (1) US10619247B2 (zh)
JP (1) JP6804270B2 (zh)
KR (1) KR102230543B1 (zh)
CN (1) CN108091594B (zh)
TW (1) TWI694496B (zh)

Families Citing this family (292)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
JP6681452B1 (ja) * 2018-10-19 2020-04-15 株式会社Kokusai Electric 基板処理装置及び半導体装置の製造方法
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
DE102020102076A1 (de) * 2020-01-29 2021-07-29 Universität Hamburg Vorrichtung und Verfahren zur Beschichtung von Kanälen einer Probe mittels Abscheidung aus der Gasphase
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7365946B2 (ja) * 2020-03-18 2023-10-20 東京エレクトロン株式会社 基板処理装置及びクリーニング方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01188674A (ja) * 1988-01-20 1989-07-27 Ishikawajima Harima Heavy Ind Co Ltd 薄膜形成装置
US20070051310A1 (en) * 2005-08-30 2007-03-08 Elpida Memory, Inc Semiconductor manufacturing apparatus
JP2007281083A (ja) * 2006-04-04 2007-10-25 Tokyo Electron Ltd 成膜装置及び成膜方法
CN102634773A (zh) * 2011-02-09 2012-08-15 东京毅力科创株式会社 成膜装置
JP2013197329A (ja) * 2012-03-21 2013-09-30 Renesas Electronics Corp 半導体装置の製造装置および半導体装置の製造方法
JP2014146828A (ja) * 2010-02-15 2014-08-14 Tokyo Electron Ltd 成膜方法、成膜装置、および成膜装置の使用方法
CN105937023A (zh) * 2015-03-03 2016-09-14 东京毅力科创株式会社 基板处理装置以及基板处理方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4699805A (en) * 1986-07-03 1987-10-13 Motorola Inc. Process and apparatus for the low pressure chemical vapor deposition of thin films
JPH05335240A (ja) * 1992-05-28 1993-12-17 Nec Kansai Ltd 減圧cvd装置及び減圧cvdの方法
US7264849B2 (en) * 2003-07-11 2007-09-04 Optisolar, Inc. Roll-vortex plasma chemical vapor deposition method
JP2005243737A (ja) * 2004-02-24 2005-09-08 Hitachi Kokusai Electric Inc 基板処理装置
JP2009295729A (ja) 2008-06-04 2009-12-17 Hitachi Kokusai Electric Inc 基板処理装置
JP5616591B2 (ja) * 2008-06-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP2014216540A (ja) * 2013-04-26 2014-11-17 東京エレクトロン株式会社 成膜装置のクリーニング方法および成膜装置
JP6342670B2 (ja) * 2014-02-17 2018-06-13 株式会社日立国際電気 クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム
JP2015173154A (ja) * 2014-03-11 2015-10-01 東京エレクトロン株式会社 縦型熱処理装置、縦型熱処理装置の運転方法及び記憶媒体
JP6560924B2 (ja) * 2015-07-29 2019-08-14 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01188674A (ja) * 1988-01-20 1989-07-27 Ishikawajima Harima Heavy Ind Co Ltd 薄膜形成装置
US20070051310A1 (en) * 2005-08-30 2007-03-08 Elpida Memory, Inc Semiconductor manufacturing apparatus
JP2007281083A (ja) * 2006-04-04 2007-10-25 Tokyo Electron Ltd 成膜装置及び成膜方法
JP2014146828A (ja) * 2010-02-15 2014-08-14 Tokyo Electron Ltd 成膜方法、成膜装置、および成膜装置の使用方法
CN102634773A (zh) * 2011-02-09 2012-08-15 东京毅力科创株式会社 成膜装置
JP2013197329A (ja) * 2012-03-21 2013-09-30 Renesas Electronics Corp 半導体装置の製造装置および半導体装置の製造方法
CN105937023A (zh) * 2015-03-03 2016-09-14 东京毅力科创株式会社 基板处理装置以及基板处理方法

Also Published As

Publication number Publication date
US10619247B2 (en) 2020-04-14
KR20180057532A (ko) 2018-05-30
JP6804270B2 (ja) 2020-12-23
JP2018085393A (ja) 2018-05-31
TW201834026A (zh) 2018-09-16
KR102230543B1 (ko) 2021-03-19
US20180142357A1 (en) 2018-05-24
CN108091594B (zh) 2023-05-05
TWI694496B (zh) 2020-05-21

Similar Documents

Publication Publication Date Title
CN108091594A (zh) 基板处理装置、喷射器以及基板处理方法
US10655218B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
TWI460792B (zh) 膜形成方法、膜形成設備及膜形成設備之使用方法
CN110265322A (zh) 衬底处理装置、半导体器件的制造方法及记录介质
US9263269B2 (en) Reaction tube, substrate processing apparatus and method of manufacturing semiconductor device
US9685317B2 (en) Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and recording medium
TWI613312B (zh) 基板處理方法及基板處理裝置
TW201404932A (zh) 氣體供應設備及成膜設備
JP2011135044A (ja) 成膜方法および成膜装置
KR102122786B1 (ko) 저류 장치, 기화기, 기판 처리 장치 및 반도체 장치의 제조 방법
CN107731656A (zh) 清洁方法、半导体器件的制造方法、衬底处理装置以及记录介质
TWI699450B (zh) 藉由原子層沉積的保護技術
KR102478562B1 (ko) 종형 열처리 장치
JP5575299B2 (ja) 成膜方法および成膜装置
JP7149884B2 (ja) 熱処理装置及び成膜方法
US20220307137A1 (en) Reaction tube, substrate processing apparatus and method of manufacturing semiconductor device
US11923177B2 (en) Plasma processing apparatus and plasma processing method
JP2019186416A (ja) 熱処理装置及び熱処理方法
US20160284543A1 (en) Substrate processing apparatus, program and method of manufacturing semiconductor device
JP2018148099A (ja) 基板処理装置
TWI618810B (zh) 碳氮化鈦膜形成方法及成膜裝置
US10557199B2 (en) Heat treatment apparatus
US11859285B2 (en) Processing apparatus and processing method
US20220081771A1 (en) Processing apparatus and processing method
US20130251896A1 (en) Method of protecting component of film forming apparatus and film forming method

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant