TWI694496B - 基板處理裝置、噴射器、及基板處理方法 - Google Patents

基板處理裝置、噴射器、及基板處理方法 Download PDF

Info

Publication number
TWI694496B
TWI694496B TW106139403A TW106139403A TWI694496B TW I694496 B TWI694496 B TW I694496B TW 106139403 A TW106139403 A TW 106139403A TW 106139403 A TW106139403 A TW 106139403A TW I694496 B TWI694496 B TW I694496B
Authority
TW
Taiwan
Prior art keywords
gas
substrate processing
line
purge
gas supply
Prior art date
Application number
TW106139403A
Other languages
English (en)
Other versions
TW201834026A (zh
Inventor
古澤純和
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201834026A publication Critical patent/TW201834026A/zh
Application granted granted Critical
Publication of TWI694496B publication Critical patent/TWI694496B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本發明提供一種基板處理裝置,即使於進行急遽之氣體導入時,亦可抑制粒子被帶入處理容器內。 依本發明之基板處理裝置,包含有用以收納複數之基板的處理容器、用以將氣體供至該處理容器內之氣體供給部、用以排放該處理容器內之氣體的排氣部。該基板處理裝置並包含有用以將該氣體供給部內驅氣之驅氣部;該氣體供給部連接於該驅氣部。

Description

基板處理裝置、噴射器、及基板處理方法
本發明係有關於基板處理裝置、噴射器、及基板處理方法。
在以原子層沉積(ALD:Atomic Layer deposition)等程序對基板進行成膜處理之基板處理裝置中,為瞬時將反應氣體供至反應容器內,而預先將供給氣體儲存於緩衝槽等,且可一面以質量流量控制器(MFC)等調整流量,一面以氣體噴嘴等噴射器將氣體供至反應容器內。
於日本專利公開公報2009-295729號(專利文獻1)揭示有一種基板處理裝置,該基板處理裝置包含有用以收納複數片基板並加以處理之反應管、用以將氣體供至反應管內之氣體噴嘴、及用以控制供給氣體之流量的質量流量控制器,且可以ALD法對基板進行成膜處理。 [先前技術文獻] [專利文獻]
[專利文獻1]日本專利公開公報2009-295729號
[發明欲解決之問題]
然而,在記載於專利文獻1之以往的基板處理裝置,直至流量穩定為止很費時,而在此期間有進行急遽之氣體導入的情形。當在氣體流量穩定前進行急遽之氣體導入時,有下述問題,前述問題係附著於氣體噴嘴之副生成物、形成於氣體噴嘴內之膜的剝落、因剝落之膜的壓力而在氣體噴嘴內破裂之石英片等作為粒子(垃圾或塵埃等)而被帶入反應容器內,該等粒子附著於基板。
此外,為防止此種粒子被帶入反應管內,以往進行了將包含基板處理裝置之噴射器的氣體供給管路分解並清洗,再度組裝這樣的作業,但保養費工。又,雖亦可使反應氣體流入氣體噴嘴,使粒子源固結(成膜)而防止粒子之產生,但產生氣體噴嘴之氣孔等閉塞而無法再進行原本之氣體供給的問題。
是故,本發明之目的在於提供即使進行急遽之氣體導入時,亦可抑制粒子被帶入處理容器內之基板處理裝置。 [解決問題之手段]
為達成上述目的,本發明一態樣之基板處理裝置包含有用以收納複數之基板的處理容器、用以將氣體供至該處理容器內之氣體供給部、用以排放該處理容器內之氣體的排氣部;該基板處理裝置並包含有用以將該氣體供給部內驅氣之驅氣部;該氣體供給部連接於該驅氣部。 [發明之功效]
根據本發明之一態樣,即使進行急遽之氣體導入時,亦可抑制粒子被帶入處理容器內。
[用以實施發明之形態]
以下,就用以實施本發明之形態,參照圖式來說明。此外,在本說明書及各圖中共通之結構有附上同一符號而省略說明之情形。
圖1係實施形態之基板處理裝置的一例之成膜裝置1的概略圖。如圖1所示,成膜裝置1包含有反應容器4、氣體供給管路40、排氣管路70。成膜裝置1更包含有用以將晶圓W加熱之圖中未示的加熱機構。
反應容器4用以收納基板亦即半導體晶圓(以下稱為「晶圓W」)。反應容器4具有雙重管構造,該雙重管構造具有呈長向為鉛直方向之大約圓筒形且具有頂部之外筒6、及於外筒6之內側配置成同心的圓筒體內筒8。外筒6及內筒8以石英等耐熱性材料形成。此外,反應容器4係構成本發明基板處理裝置的一部分之處理容器的一例。
外筒6及內筒8以由不鏽鋼等形成之歧管10保持各自之下端部。歧管10固定於基底板12。此外,歧管10可以與外筒6及內筒8獨立分開之構件形成,亦可與外筒6及內筒8形成一體。
舉例而言,在圖1,將歧管10形成為反應容器4之一部分而與外筒6及內筒8一同形成大約圓筒之內部空間。此時,反應容器4具有以石英等耐熱性材料形成之外筒6及內筒8、以不鏽鋼等形成之歧管10,歧管10於反應容器4之側面下部設成從下方保持外筒6及內筒8。
於歧管10之下端部設有將反應容器4之下端的開口部側堵塞成氣密之蓋部14。蓋部14以不鏽鋼等形成圓盤狀,藉由O型環等圖中未示之密封構件安裝成可氣密密封。
又,於蓋部14之大約中心部插通有可以圖中未示之磁性流體密封件等保持氣密狀態並可旋轉之旋轉軸20。旋轉軸20之下端連接於旋轉設備22,於旋轉軸20之上端固定有以例如不鏽鋼形成之台24。
於台24上設置有以石英等耐熱性材料形成之保溫筒26。又,於保溫筒26上載置有以石英等耐熱性材料形成之晶舟28作為支撐具。
晶舟28係將複數片晶圓W以預定間隔保持來收納於反應容器4內之基板保持具。在晶舟28,多片(例如50~175片)晶圓W等基板以預定間隔、例如10mm左右之間距收納。晶舟28、保溫筒26、台24及蓋部14藉具有例如晶舟升降機之功能的升降設備30於反應容器4內形成一體,而可搬入(載入)至反應容器4內,且可從反應容器4搬出(卸載)。
又,氣體供給管路40將預定氣體(例如含矽氣體等處理氣體)供至反應容器4內。氣體供給管路40具有處理氣體之供給源亦即氣體供給源41、氣體配管42、氣體噴嘴43。此外,氣體供給管路40係構成本發明基板處理裝置的一部分之氣體供給部的一例。氣體噴嘴43係構成該氣體供給部之一部分的噴射器之一例。
氣體配管42係連接氣體供給源41與氣體噴嘴43而將來自氣體供給源41之處理氣體引導至氣體噴嘴43的配管。於氣體配管42設有開關閥44、質量流量控制器(MFC)等流量控制器45、儲存部(緩衝槽)46、及開關閥47。
舉例而言,在關閉開關閥47之狀態下,開啟開關閥44,從氣體供給源41使處理氣體以預定流量流動,藉此,可將處理氣體儲存(裝載)於儲存部46。將處理氣體儲存於儲存部46後,關閉開關閥44,並開啟開關閥47,藉此,可藉由氣體噴嘴43將預定量之處理氣體供至反應容器4內。藉該等,可控制處理氣體之供給開始/停止、及流量。
氣體噴嘴43係連接於氣體配管42並貫穿歧管10之側壁至內側後往上方彎曲接著垂直地延伸之噴嘴,以例如石英管形成。在氣體噴嘴43之垂直部分,沿著其長度方向以預定間隔形成有複數之氣體吐出孔48,而可從各氣體吐出孔48往水平方向朝反應容器4內大致均一地吐出處理氣體。即,可往平行於晶圓W之表面的方向供給處理氣體。此外,氣體噴嘴43不限1根,亦可設2根以上。
又,亦可設供給不同於以氣體供給管路40供給之處理氣體的處理氣體(例如含氮氣體)之圖中未示的其他氣體供給管路。此時亦可與氣體供給管路40之結構同樣地,使其他氣體供給管路為具有圖中未示之氣體供給源、氣體配管、及氣體噴嘴的結構。
在此其他氣體供給管路亦是氣體噴嘴為連接於氣體配管並貫穿歧管10之側壁至內側後往上方彎曲接著垂直地延伸之噴嘴,可以例如石英管形成。可構造成在其他氣體噴嘴之垂直部分,沿著其長度方向以預定間隔形成複數之氣體吐出孔,而可從各氣體吐出孔往水平方向朝反應容器4大致均一地吐出其他處理氣體,往平行於晶圓W之表面的方向供給含氮氣體。此外,其他氣體供給管路亦是氣體噴嘴不限1根,亦可設2根以上。
又,構成其他氣體供給管路之氣體噴嘴亦可兼用氣體供給管路40之氣體噴嘴管路。此時,只要結構係於氣體配管設圖中未示之開關閥、質量流量控制器(MFC)等流量控制器、儲存部(緩衝槽)及開關閥,並使儲存部之開關閥的下游與氣體供給管路40之開關閥47的下游匯合即可。藉該等,其他氣體供給管路亦可控制氣體之供給開始/停止、及流量。
又,雖圖中未示,但亦可設用以將吹掃氣體供至反應容器4之吹掃氣體供給管路。吹掃氣體供給管路可為具有吹掃氣體供給源、吹掃氣體配管及吹掃氣體噴嘴之結構。
吹掃氣體配管係連接吹掃氣體供給源與吹掃氣體噴嘴而將來自吹掃氣體供給源之吹掃氣體引導至吹掃氣體噴嘴之配管。於吹掃氣體配管設控制吹掃氣體之流量的流量控制器及開關閥,而可控制吹掃氣體之供給開始/停止、及流量。吹掃氣體噴嘴係連接於吹掃氣體配管並貫穿歧管10之側壁至內側的筆直狀(直管狀)噴嘴,可以例如石英管形成。
排氣管路70用以排放反應容器4內之氣體。排氣管路70連結於設在歧管10之上部的氣體出口32。排氣管路70具有連接於氣體出口32之排氣通路71、依序連接於排氣通路71之中途的壓力調整閥72及真空泵73。壓力調整閥72在本例中是壓力計與開關閥構成一體,亦可將壓力計與開關閥個別構成。可以此排氣管路70一面調整反應容器4內之環境氣體的壓力一面排氣。此外,排氣管路70係構成本發明基板處理裝置之一部分的排氣部之一例。
於反應容器4之外周側設有包圍反應容器4而用以將晶圓W加熱之圖中未示的加熱器裝置。加熱器裝置具有具頂面之圓筒體隔熱層。隔熱層可以例如熱傳導性低且柔軟之無定形二氧化矽及氧化鋁的混合物形成。隔熱層配置成其內周對反應容器4之外面拉開預定距離。
又,可將以不鏽鋼等形成之圖中未示的保護蓋於隔熱層之外周安裝成覆蓋隔熱層之外周整體。又,圖中未示之加熱器元件捲繞成螺旋狀來配置於隔熱層之內周側。加熱器元件可於隔熱層之內周側遍及側面之軸方向整體捲繞而設。
加熱器元件可在軸方向分割成複數個區域(例如4個區域)。結構可為依據以按各區域設於隔熱層之圖中未示的熱電偶檢測之溫度,按各區域獨立地控制溫度。
成膜裝置1之各構成部的控制可以電腦等控制部90進行。控制部90進行例如氣體供給管路40之開關閥44、47的開關所行之處理氣體的供給/停止、流量控制器45所行之氣體流量控制、排氣管路70之壓力調整閥72及真空泵73所行的反應容器4內之壓力控制、加熱器裝置之溫度控制。
於控制部90連接有記憶部91,該記憶部儲存了用以藉控制部90之控制實現在成膜裝置1執行之各種處理的控制程式、及用以按處理條件使成膜裝置1之各構成部執行處理的各種程式(或配方)。程式包含用以使成膜裝置1執行後述成膜方法之程式。又,各種程式可記憶於記憶媒體並儲存於記憶部91。記憶媒體可為硬碟或半導體記憶體,亦可為CD-ROM、DVD、快閃記憶體等可攜性記憶媒體。又,亦可從其他裝置適宜地藉由例如專用線路將配方傳送至記憶部91。
在本實施形態中,如圖1所示,於氣體供給管路40連接有驅氣管路50。驅氣管路50為將氣體供給管路40內驅氣之驅氣部的一例。驅氣管路50連結於氣體供給管路40之氣體噴嘴43。於驅氣管路50設有氣體配管51及開關閥52。又,可於驅氣管路50設真空泵。
氣體配管51連接於氣體供給管路40,可供將氣體供給管路40內驅氣後之驅氣氣體通過。開關閥52設於氣體配管51之中途,與真空泵連動,而可控制連接於驅氣管路50之氣體供給管路40的氣體噴嘴43內之壓力。
此外,與驅氣管路50之開關閥52連動的真空泵可兼用排氣管路70之真空泵73。此時,驅氣管路50連接於排氣管路70。具體而言,於排氣管路70之壓力調整閥72與真空泵73之間連接驅氣管路50之氣體配管51。由於藉此結構,可以1個真空泵控制排氣管路與驅氣管路,故即使設驅氣管路,亦可使用既有之真空泵,而可防止裝置之複雜化。
圖2~圖7係分別說明構成本實施形態之氣體供給管路40的一部分之氣體噴嘴43的結構例(第1實施形態~第6實施形態)之圖。
首先,在第1實施形態中,如圖1及圖2所示,氣體噴嘴43之至少其中一端部與氣體供給管路40之氣體配管42連通,另一端部與驅氣管路50連通。又,氣體噴嘴43之氣體吐出孔48以構成與反應容器4內連通而用以將處理氣體供至反應容器4內之供給口的複數之氣孔形成。
於氣體噴嘴43之兩端部設有開口部49(開口部49A、49B)。設於其中一端部之開口部49A構成從氣體供給管路40之氣體配管42將處理氣體導入至氣體噴嘴43內之導入口。又,設於另一端部之開口部49B構成將驅氣氣體排出至驅氣管路50之氣體配管51的排出口。即,氣體噴嘴43藉由開口部49A與氣體供給管路40連通,藉由開口部49B與驅氣管路50連通。
在此結構,於氣體噴嘴43除了設有將處理氣體供至反應容器4內之供給口(氣體吐出口48)外,還設有將導入至氣體噴嘴43內之處理氣體驅氣的排出口(開口部49B),而可藉由該排出口將驅氣管路50連接於氣體噴嘴43。因此,可將造成粒子之粒子源(附著於氣體噴嘴之副生成物、形成於氣體噴嘴內之膜的剝落、因剝落之膜的壓力而在氣體噴嘴內破裂之石英片等)從氣體噴嘴43驅氣至驅氣管路50。
特別是由於在供至反應容器內之處理氣體的流量穩定前,即使進行急遽之處理氣體的導入時,亦可從氣體噴嘴43將粒子源驅除至排氣管路50,故可抑制粒子被帶入至反應容器4內。
在第2實施形態中,如圖1及圖3所示,除了第1實施形態之結構,還具有連接於氣體噴嘴43之驅氣管路50的氣體配管51延伸至氣體噴嘴43之開口部49A(氣體供給管路40之氣體配管42)附近的結構。由於藉此結構,可將驅氣管路50之氣體配管51與氣體供給管路40之氣體配管42匯集而安裝於反應容器4(歧管10),故易配置驅氣管路50之氣體配管51。
又,在第2實施形態中,如圖3所示,氣體供給管路40之氣體配管42在氣體噴嘴43之開口部49A附近形成L字形。即,氣體配管42以端部彎折之狀態連接於氣體噴嘴43之開口部49A。藉此結構,可將氣體配管42安裝於反應容器4之側壁(歧管10),而易進行氣體供給管路40之配置作業。
在第3實施形態中,如圖4所示,驅氣管路50(氣體配管51)之一部分配置於氣體噴嘴43之內部。即,以驅氣管路50之氣體配管51的一部分與氣體噴嘴43構成雙重管。又,在第3實施形態之結構中,驅氣管路50之氣體配管51的一部分亦配置於連接於氣體噴嘴43的氣體配管42之內部。由於藉此結構,可省略配置驅氣管路50之氣體配管51所需的空間之一部分,故可使驅氣管路50之配置小型化。
又,在第4實施形態中,如圖5所示,不僅採用第3實施形態之結構,而且以驅氣管路50之氣體配管51的一部分與連接於氣體噴嘴43之氣體配管42構成雙重管的部分形成L字形。藉此結構,可將驅氣管路50設成更小型化。
在第5實施形態中,如圖6所示,氣體吐出孔48以1個氣孔形成。此時,氣體噴嘴43只要氣體噴嘴43之至少其中一端部於反應容器4內形成氣孔即可。如此,驅氣管路50可不論氣孔之數而設。
又,在第5實施形態之結構中,於氣體噴嘴43之另一端部(與驅氣管路50連通之開口部49B側)形成有氣體吐出孔48。在此種結構中,由於氣孔配置於與驅氣管路50連通之開口部49B(排出口)附近,故可以良好效率將包含氣孔附近之粒子源的氣體驅除。
又,在第6實施形態中,如圖7所示,不僅採用第5實施形態之結構,而且以驅氣管路50之氣體配管51的一部分及連接於氣體噴嘴43之氣體配管42構成雙重管的部分形成L字形。藉此結構,可使驅氣管路50更小型化。
接著,就使用上述成膜裝置1之本實施形態的基板處理方法之一例作說明。圖8係顯示實施形態之基板處理方法的一例之流程圖。
本實施形態之基板處理方法至少包含有氣體供給製程及驅氣製程。氣體供給製程將處理氣體從氣體噴嘴43供至收納複數之晶圓W的反應容器4內。
排氣製程於氣體供給製程之前進行,在驅氣製程,將氣體噴嘴43內驅氣。在驅氣製程,將氣體噴嘴43內之壓力控制為負壓。
驅氣製程以圖8所示之程序進行。首先,在ST1,判定供給氣體之程序是否為第1個處理步驟。當判斷為非第1個處理步驟時,便前進至ST7,執行氣體供給製程,進行普通之氣體供給程序。
當在ST1判定為第1個處理步驟時,便前進至ST2,開啟驅氣管路50。具體而言,在ST2令驅氣管路50之開關閥52為開啟狀態,在ST3令氣體噴嘴43內為負壓。此時,使開關閥52與排氣管路70之真空泵73連動而將與驅氣管路50連通之氣體噴嘴43內減壓化。此外,驅氣管路50之開通(ST2)與氣體噴嘴43內之減壓化(ST3)亦可同時進行。
接著,前進至ST4,對流量控制器(MFC)45指示處理氣體之流量。指示之氣體流量可調整為可進行氣體噴嘴43內之驅氣的適當流量。流量控制器(MFC)45依據所指示之流量,控制開關閥44、47之開關,控制流至氣體供給管路40之處理氣體的流量,而使處理氣體流至氣體供給管路40(ST5)。
之後,關閉驅氣管路50。具體而言,使驅氣管路50之開關閥52呈關閉狀態(ST6)。
然後,當上述ST1~ST6之程序完畢後,前進至ST7,執行氣體供給製程,而進行普通之氣體供給程序。此外,ST1~ST7之程序的控制以上述控制部90進行。
又,在驅氣製程中,將反應容器4內之壓力控制為高於氣體噴嘴43內之壓力的壓力。即,將驅氣管路50之開關閥52、排氣管路70之壓力調整閥72及真空泵73控制成氣體噴嘴43內之壓力小於反應容器4內之壓力。
藉此控制,導入至氣體噴嘴43內之處理氣體不從氣體吐出孔48吐出至反應容器4內,而是直接流至驅氣管路50。因此,在驅氣製程,通過氣體供給管路40之驅氣氣體不吐出至反應容器4內而藉由驅氣管路50排放至外部。
又,藉此控制,反應容器4之環境氣體藉由氣體吐出孔48被吸引至氣體噴嘴43內。因此,可將形成於氣體噴嘴43之氣體吐出孔48附近的粒子源及於反應容器4內浮游之粒子引入至驅氣氣體流動之氣體噴嘴43。
藉實施進行此種控制之基板處理方法,即使進行急遽之氣體導入,亦可抑制粒子被帶入處理容器內。
接著,就使用本實施形態之基板處理裝置(第2實施形態)實施了實施形態的基板處理方法時之效果作說明。圖9係在ALD程序之第1個處理步驟從基板處理裝置的氣體噴嘴供給氣體之際的基板表面之粒子分佈。在此當中,圖9(A)顯示使用以往之基板處理裝置時的粒子分佈,圖9(B)顯示使用本實施形態之基板處理裝置時的粒子分佈。
首先,使用圖9(A)之以往的基板處理裝置時,附著於晶圓W之表面的粒子量多。又,附著於晶圓W之表面的粒子靠向氣體噴嘴43附近而附著於晶圓W上。
另一方面,使用圖9(B)之本實施形態的基板處理裝置時,附著於晶圓W之表面的粒子量比使用以往之基板處理裝置時少。又,附著於晶圓W之表面的粒子大致均一地附著於晶圓W上。
從該等結果可知,如本實施形態般,藉設驅氣管路50來實施驅氣製程,可防止粒子被帶入反應容器4內,而可抑制粒子附著於基板。又,亦可知即使粒子被帶入反應容器4內,亦可防止其靠向晶圓W之表面而附著。
如此,藉將驅氣管路50藉由排出口(開口部49B)連接於氣體噴嘴43,可將造成粒子之粒子源從氣體噴嘴43驅除至驅氣管路50,而使被帶入反應容器4內之粒子量少。因此,即使進行急遽之氣體導入時,亦可抑制粒子被帶入反應容器4內,而可防止晶圓W之生產性的降低。
此外,在本例中使用之氣體的種類可按形成之膜的種類適宜選擇。又,在本例中,舉了使用ALD法之情形為例來說明,使用CVD法時亦可適用本發明。即,本例可廣泛地用於在進行急遽之氣體導入的環境使用之成膜方法。
以上就用以實施本發明之形態作了說明,本發明不限上述實施形態,可在本發明之範圍內進行各種變形及改良。
1‧‧‧成膜裝置4‧‧‧反應容器6‧‧‧外筒8‧‧‧內筒10‧‧‧歧管12‧‧‧基底板14‧‧‧蓋部20‧‧‧旋轉軸22‧‧‧旋轉設備24‧‧‧台26‧‧‧保溫筒28‧‧‧晶舟30‧‧‧升降設備32‧‧‧氣體出口40‧‧‧氣體供給管路41‧‧‧氣體供給源42‧‧‧氣體配管43‧‧‧氣體噴嘴44‧‧‧開關閥45‧‧‧流量控制器46‧‧‧儲存部47‧‧‧開關閥48‧‧‧氣體吐出孔49‧‧‧開口部49A‧‧‧開口部49B‧‧‧開口部50‧‧‧驅氣管路51‧‧‧氣體配管52‧‧‧開關閥70‧‧‧排氣管路71‧‧‧排氣通路72‧‧‧壓力調整閥73‧‧‧真空泵90‧‧‧控制部91‧‧‧記憶部ST1‧‧‧程序ST2‧‧‧程序ST3‧‧‧程序ST4‧‧‧程序ST5‧‧‧程序ST6‧‧‧程序ST7‧‧‧程序W‧‧‧晶圓
圖1係本發明實施形態之基板處理裝置的概略圖。 圖2係顯示實施形態之基板處理裝置的噴射器之一例的圖。 圖3係顯示實施形態之基板處理裝置的噴射器之另一例的圖。 圖4係顯示實施形態之基板處理裝置的噴射器之另一例的圖。 圖5係顯示實施形態之基板處理裝置的噴射器之另一例的圖。 圖6係顯示實施形態之基板處理裝置的噴射器之另一例的圖。 圖7係顯示實施形態之基板處理裝置的噴射器之另一例的圖。 圖8係顯示實施形態之基板處理方法的一例之流程圖。 圖9係顯示在ALD程序的第1個處理步驟供給氣體之際的基板表面上之粒子分佈的圖,(A)顯示使用以往之基板處理裝置時的粒子分佈,(B)顯示使用本實施形態之基板處理裝置時的粒子分佈。
1‧‧‧成膜裝置
4‧‧‧反應容器
6‧‧‧外筒
8‧‧‧內筒
10‧‧‧歧管
14‧‧‧蓋部
20‧‧‧旋轉軸
22‧‧‧旋轉設備
24‧‧‧台
26‧‧‧保溫筒
28‧‧‧晶舟
30‧‧‧升降設備
32‧‧‧氣體出口
40‧‧‧氣體供給管路
41‧‧‧氣體供給源
42‧‧‧氣體配管
43‧‧‧氣體噴嘴
44‧‧‧開關閥
45‧‧‧流量控制器
46‧‧‧儲存部
47‧‧‧開關閥
48‧‧‧氣體吐出孔
49‧‧‧開口部
50‧‧‧驅氣管路
51‧‧‧氣體配管
52‧‧‧開關閥
70‧‧‧排氣管路
71‧‧‧排氣通路
72‧‧‧壓力調整閥
73‧‧‧真空泵
90‧‧‧控制部
91‧‧‧記憶部
W‧‧‧晶圓

Claims (10)

  1. 一種基板處理裝置,包含:處理容器,收納複數之基板;氣體供給部,將氣體供至該處理容器內;及排氣部,排放該處理容器內之氣體;該基板處理裝置並包含:驅氣部,將該氣體供給部內驅氣,其中該驅氣部連接於該排氣部;該氣體供給部連接於該驅氣部。
  2. 如申請專利範圍第1項之基板處理裝置,其中,該氣體供給部具有用以將氣體供至該處理容器內之噴射器,該噴射器之至少其中一端部連接於該驅氣部。
  3. 如申請專利範圍第2項之基板處理裝置,其中,該驅氣部之一部分配置於該噴射器之內部。
  4. 如申請專利範圍第2項之基板處理裝置,其中,該噴射器形成有連通該處理容器內的複數之氣孔。
  5. 如申請專利範圍第2項之基板處理裝置,其中,該噴射器係於該噴射器之至少其中一端部形成有連通該處理容器內之氣孔。
  6. 一種噴射器,用以將氣體供至收納有複數之基板的處理容器內,並包含:導入口,將氣體導入至該噴射器;供給口,將氣體供至該處理容器內;及排出口,連通於用以將該噴射器內驅氣之排氣管路。
  7. 如申請專利範圍第6項之噴射器,其中,該供給口係以複數之氣孔構成。
  8. 如申請專利範圍第6項之噴射器,其中,該供給口係由形成於該噴射器之至少其中一端部的氣孔構成。
  9. 一種基板處理方法,包含從噴射器將氣體供至收納著複數之基板的處理容器內之氣體供給製程,並包含下列製程:驅氣製程,於該氣體供給製程前,將該噴射器內驅氣;在該驅氣製程,將該噴射器內之壓力控制為負壓。
  10. 如申請專利範圍第9項之基板處理方法,其中,在該驅氣製程,將該處理容器內之壓力控制為高於該噴射器內之壓力。
TW106139403A 2016-11-21 2017-11-15 基板處理裝置、噴射器、及基板處理方法 TWI694496B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016226355A JP6804270B2 (ja) 2016-11-21 2016-11-21 基板処理装置、および基板処理方法
JP2016-226355 2016-11-21

Publications (2)

Publication Number Publication Date
TW201834026A TW201834026A (zh) 2018-09-16
TWI694496B true TWI694496B (zh) 2020-05-21

Family

ID=62144351

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106139403A TWI694496B (zh) 2016-11-21 2017-11-15 基板處理裝置、噴射器、及基板處理方法

Country Status (5)

Country Link
US (1) US10619247B2 (zh)
JP (1) JP6804270B2 (zh)
KR (1) KR102230543B1 (zh)
CN (1) CN108091594B (zh)
TW (1) TWI694496B (zh)

Families Citing this family (312)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
JP6681452B1 (ja) * 2018-10-19 2020-04-15 株式会社Kokusai Electric 基板処理装置及び半導体装置の製造方法
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
DE102020102076A1 (de) * 2020-01-29 2021-07-29 Universität Hamburg Vorrichtung und Verfahren zur Beschichtung von Kanälen einer Probe mittels Abscheidung aus der Gasphase
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7365946B2 (ja) 2020-03-18 2023-10-20 東京エレクトロン株式会社 基板処理装置及びクリーニング方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201604312A (zh) * 2014-03-11 2016-02-01 東京威力科創股份有限公司 立式熱處理裝置、立式熱處理裝置之運轉方法及記錄媒體

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4699805A (en) * 1986-07-03 1987-10-13 Motorola Inc. Process and apparatus for the low pressure chemical vapor deposition of thin films
JPH01188674A (ja) * 1988-01-20 1989-07-27 Ishikawajima Harima Heavy Ind Co Ltd 薄膜形成装置
JPH05335240A (ja) * 1992-05-28 1993-12-17 Nec Kansai Ltd 減圧cvd装置及び減圧cvdの方法
US7264849B2 (en) * 2003-07-11 2007-09-04 Optisolar, Inc. Roll-vortex plasma chemical vapor deposition method
JP2005243737A (ja) * 2004-02-24 2005-09-08 Hitachi Kokusai Electric Inc 基板処理装置
JP2007067119A (ja) * 2005-08-30 2007-03-15 Elpida Memory Inc 半導体製造装置
JP4410211B2 (ja) * 2006-04-04 2010-02-03 東京エレクトロン株式会社 成膜装置及び成膜方法
JP2009295729A (ja) 2008-06-04 2009-12-17 Hitachi Kokusai Electric Inc 基板処理装置
JP5616591B2 (ja) * 2008-06-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP5839514B2 (ja) * 2010-02-15 2016-01-06 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5589878B2 (ja) * 2011-02-09 2014-09-17 東京エレクトロン株式会社 成膜装置
JP2013197329A (ja) * 2012-03-21 2013-09-30 Renesas Electronics Corp 半導体装置の製造装置および半導体装置の製造方法
JP2014216540A (ja) * 2013-04-26 2014-11-17 東京エレクトロン株式会社 成膜装置のクリーニング方法および成膜装置
JP6342670B2 (ja) * 2014-02-17 2018-06-13 株式会社日立国際電気 クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム
JP6388552B2 (ja) * 2015-03-03 2018-09-12 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP6560924B2 (ja) * 2015-07-29 2019-08-14 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201604312A (zh) * 2014-03-11 2016-02-01 東京威力科創股份有限公司 立式熱處理裝置、立式熱處理裝置之運轉方法及記錄媒體

Also Published As

Publication number Publication date
US20180142357A1 (en) 2018-05-24
CN108091594B (zh) 2023-05-05
US10619247B2 (en) 2020-04-14
KR20180057532A (ko) 2018-05-30
JP2018085393A (ja) 2018-05-31
TW201834026A (zh) 2018-09-16
KR102230543B1 (ko) 2021-03-19
JP6804270B2 (ja) 2020-12-23
CN108091594A (zh) 2018-05-29

Similar Documents

Publication Publication Date Title
TWI694496B (zh) 基板處理裝置、噴射器、及基板處理方法
KR101764048B1 (ko) 성막 장치
JP5514129B2 (ja) 成膜方法、成膜装置、および成膜装置の使用方法
JP5720406B2 (ja) ガス供給装置、熱処理装置、ガス供給方法及び熱処理方法
TWI610395B (zh) 支持體構造、處理容器構造及處理設備
WO2006041169A1 (ja) 基板処理装置及び半導体装置の製造方法
US20110309562A1 (en) Support structure and processing apparatus
TW201608659A (zh) 基板處理裝置,半導體裝置之製造方法,記錄媒體
JP2014199856A (ja) 縦型熱処理装置の運転方法及び記憶媒体並びに縦型熱処理装置
US20090088001A1 (en) Substrate processing apparatus and manufacturing method of semiconductor device
US10876204B2 (en) Substrate processing apparatus, exhaust pipe coating method and substrate processing method
US20180312967A1 (en) Substrate processing apparatus, method of removing particles in injector, and substrate processing method
KR101614408B1 (ko) 성막 장치 및 그 운용 방법
KR101108379B1 (ko) 감압 처리 장치 및 감압 처리 방법 및 압력 조정 밸브
KR101626799B1 (ko) 성막 장치 및 그 운용 방법
TWI807192B (zh) 氣體導入構造、熱處理裝置及氣體供給方法
US11373876B2 (en) Film forming method and film forming apparatus
CN115537776A (zh) 成膜装置
KR100980533B1 (ko) 처리 용기의 대기 개방 방법 및 기억 매체
JP4963817B2 (ja) 基板処理装置
JP2018178236A (ja) 基板処理装置、処理ガスノズル内のパーティクルコーティング方法及び基板処理方法
WO2015186319A1 (ja) 成膜装置、成膜方法及び記憶媒体
KR102133547B1 (ko) 기판 처리 장치, 이음부 및 반도체 장치의 제조 방법
US20130251896A1 (en) Method of protecting component of film forming apparatus and film forming method
JP6376982B2 (ja) 原料タンクのガス抜き方法および成膜装置