CN106058041B - 用于工艺损伤最小化的mram结构 - Google Patents

用于工艺损伤最小化的mram结构 Download PDF

Info

Publication number
CN106058041B
CN106058041B CN201510570350.6A CN201510570350A CN106058041B CN 106058041 B CN106058041 B CN 106058041B CN 201510570350 A CN201510570350 A CN 201510570350A CN 106058041 B CN106058041 B CN 106058041B
Authority
CN
China
Prior art keywords
layer
conductive
upper electrode
electrode
lower portion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510570350.6A
Other languages
English (en)
Other versions
CN106058041A (zh
Inventor
徐晨祐
刘世昌
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106058041A publication Critical patent/CN106058041A/zh
Application granted granted Critical
Publication of CN106058041B publication Critical patent/CN106058041B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/10Magnetoresistive devices

Abstract

本发明涉及具有延伸的上电极的磁阻式随机存取存储器(MRAM)单元和形成方法。在一些实施例中,MRAM单元具有布置在导电下电极上方的磁隧道结(MTJ)。导电上电极布置在磁隧道结上方。导电上电极具有下部和上部。下部位于磁隧道结上面并且由包封结构横向围绕。上部布置在下部和包封结构上并且横向延伸超出导电上电极的下部。通过横向地延伸超出下部,导电上电极的上部给通孔提供了比上电极的下部将提供的更大的接合面积,从而减轻了由覆盖误差导致的通孔穿通。本发明的实施例还涉及用于工艺损伤最小化的MRAM结构。

Description

用于工艺损伤最小化的MRAM结构
技术领域
本发明的实施例涉及集成电路器件,更具体地,涉及用于工艺损伤最小化的MRAM结构。
背景技术
许多现代电子器件包含配置为存储数据的电子存储器。电子存储器可以是易失性存储器或非易失性存储器。易失性存储器在导通时存储数据,而非易失性存储器能够在断开电源时存储数据。磁阻式随机存取存储器(MRAM)是用于下一代非易失性存储器技术的一种有前景的候选。MRAM单元包括具有可变电阻的磁隧道结(MTJ),磁隧道结位于设置在后段制程(BEOL)金属化层内的两个电极之间。
发明内容
本发明的实施例提供了一种磁阻式随机存取存储器(MRAM)器件,包括:磁隧道结(MTJ),设置在导电下电极上方;导电上电极,包括垂直地布置在所述磁隧道结上方并且由包封结构横向地围绕的下部以及位于所述下部和所述包封结构上的上部;以及其中,所述导电上电极的所述上部横向地延伸超出所述导电上电极的所述下部。
本发明的另一实施例提供了一种磁阻式随机存取存储器(MRAM)器件,包括:反铁磁层,设置在导电下电极上方,所述导电下电极邻接下金属互连层;固定磁层,布置在所述反铁磁层上方;介电阻挡层,布置在所述固定磁层上方;自由磁层,布置在所述介电阻挡层上方;双包封结构,具有通过第一包封层与所述自由磁层横向地分隔开的第二包封层;以及导电上电极,包括布置在所述自由磁层上并且由所述双包封结构横向地围绕的下部以及位于所述下部和所述双包封结构上的上部。
本发明的又一实施例提供了一种形成MRAM单元的方法,包括:形成具有磁隧道结(MTJ)的MRAM堆叠件,所述磁隧道结设置在下电极层和第一上电极层之间;实施第一蚀刻工艺,所述第一蚀刻工艺图案化所述第一上电极层以形成导电上电极的下部;形成横向地围绕所述导电上电极的所述下部的包封结构;在所述导电上电极的所述下部和所述包封结构上方形成第二上电极层;以及实施第二蚀刻工艺,所述第二蚀刻工艺图案化所述第二上电极层以形成所述导电上电极的上部,所述导电上电极的所述上部横向地延伸超出所述导电上电极的所述下部。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各方面。应该注意,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1示出了具有延伸的上电极的磁阻式随机存取存储器(MRAM)单元的一些实施例。
图2示出了具有延伸的上电极的MRAM单元的一些额外的实施例。
图3示出了具有MRAM单元的集成芯片的一些实施例,其中,MRAM单元具有延伸的上电极。
图4示出了形成具有延伸的上电极的MRAM单元的方法的一些实施例的流程图。
图5至图12示出了用于说明形成具有延伸的上电极的MRAM单元的方法的截面图的一些实施例。
具体实施方式
以下公开内容提供了许多用于实现所提供主题的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括其中第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等的空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),而本文使用的空间相对描述符可以同样地作相应的解释。
磁阻式随机存取存储器(MRAM)单元配置为使用磁存储元件存储数据。通常地,MRAM单元包括垂直地布置在下电极和上电极之间的后段制程(BEOL)金属堆叠件内的磁隧道结(MTJ)。MTJ包括通过介电阻挡层垂直地分隔开的固定磁层和自由磁层。固定磁层的磁取向是静态的(即,固定的),而自由磁层的磁取向能够在相对于固定磁层的磁取向的平行配置和反平行配置之间切换。平行配置提供低电阻状态,低电阻状态数字化地存储作为第一位值的数据(例如,逻辑“0”)。反平行配置提供高电阻状态,高电阻状态数字化地存储作为第二位值的数据(例如,逻辑“1”)。
随着集成芯片的功能增加,对更多的存储器的需求也增加,从而使得集成芯片设计者和制造商必须增加可用的存储器的量,同时减小集成芯片的尺寸和功耗。为了达到这个目标,在过去的几十年间,存储单元组件的尺寸已经不断缩小。在MRAM存储单元中,随着存储单元组件的尺寸减小,由于通孔和上电极之间的覆盖容差将减小,所以将金属互连通孔接合在上电极上的能力变得越来越困难。应该理解,覆盖误差可以引起通孔与上电极偏移,从而导致通孔穿通(例如,导通孔沿着上电极的侧面延伸至下面的层)和器件短路。
因此,本发明涉及具有延伸的上电极的磁阻式随机存取存储器(MRAM)单元和形成方法。延伸的上电极在不增大MRAM单元的磁隧道结(MTJ)的尺寸的情况下为上面的通孔提供较大的接合面积,从而减轻由通孔和上电极之间的覆盖误差导致的制造通孔穿通。在一些实施例中,MRAM单元具有布置在导电下电极上方的磁隧道结(MTJ)。导电上电极布置在磁隧道结上方。导电上电极具有下部和上部。下部位于磁隧道结上面并且由包封结构横向围绕。上部布置在下部和包封结构上,并且横向地延伸超出导电上电极的下部以形成延伸的上电极。
图1示出了具有延伸的上电极的磁阻式随机存取存储器(MRAM)单元100的一些实施例。
MRAM单元100包括导电下电极102。反铁磁层104布置在导电下电极102上方,并且固定磁层106布置在反铁磁层104上方。反铁磁层104包括具有强交换耦合的材料,该材料的原子的磁矩以规则的图案对准,其中,相邻的自旋指向相反方向。强交换耦合允许反铁磁层104固定(即,固定)固定磁层106的磁极化,从而防止固定磁层106的磁极化切换(例如,在写入操作期间)。在一些实施例中,合成反铁磁(SAF)层(未示出)可以设置在反铁磁层104和固定磁层106之间。
固定磁层106通过介电阻挡层108与自由磁层110垂直分隔开。自由磁层110包括能够在相对于固定磁层106的磁极化的平行配置和反平行配置之间切换的磁极化。导电上电极114设置在自由磁层110上方。包封结构112布置在介电阻挡层118上方并且邻接自由磁层110的侧壁。
固定磁层106、介电阻挡层108和自由磁层110形成磁隧道结(MTJ)111。在MTJ 111内,在导电下电极102和导电上电极114之间施加电压差之后,电子可以隧穿介电阻挡层108(位于固定磁层106和自由磁层110之间)。由于电子隧穿介电阻挡层108,自由磁层110的磁极化可以改变,从而改变MTJ 111的电阻。例如,如果自由磁层110的极性与固定磁层106的极性对准,则MTJ 111具有对应于第一数据状态(例如,逻辑“0”)的第一电阻值。可选地,如果自由磁层110的极性与固定磁层106的极性未对准,则MTJ 111具有对应于第二数据状态(例如,逻辑“1”)的第二电阻值。
导电上电极114具有‘T’形结构,‘T’形结构具有下部116和上面的上部118。下部116由包封结构112横向围绕,而上部118邻接包封结构112的上表面。导电上电极114的上部118具有大于下部116的宽度。上部118的较大的宽度使得导电上电极114的上部118悬垂于导电上电极114的下部116之上。
在导电上电极114的上部118上设置通孔120。通孔120配置为将导电上电极114的上部118连接至额外的后段制程(BEOL)金属化层(例如,金属互连线)。导电上电极114的上部118的较大的宽度给通孔120提供了比导电上电极114的下部116将提供的更大的接合面积,从而减轻了由通孔120和导电上电极114之间的覆盖误差导致的通孔穿通。
图2示出了包括具有延伸的上电极的MRAM单元201的集成芯片200的一些额外的实施例。
集成芯片200包括设置在周围的第一层间介电(ILD)层204内的下金属互连层202。在一些实施例中,下金属互连层202可以包括通孔层,例如,通孔层包括铜(Cu)或铝(Al)。在一些实施例中,第一ILD层204可以包括低k介电层、超低k介电层、极低k介电层和/或二氧化硅层。
导电下电极102设置在下金属互连层202上方并且电接触下金属互连层202。反铁磁层104布置在导电下电极102上方,并且固定磁层106布置在反铁磁层104上方。在一些实施例中,导电下电极102可以包括氮化钛(TiN)、氮化钽(TaN)、钛(Ti)和/或钽(Ta)。在一些实施例中,导电下电极102可以具有介于约10nm和约100nm的范围内的厚度。在一些实施例中,反铁磁层104可以包括铱锰(IrMn)、铁锰(FeMn)、钌锰(RuMn)、镍锰(NiMn)和/或钯铂锰(PdPtMn)。在一些实施例中,固定磁层106可以包括钴(Co)、铁(Fe)、硼(B)和/或钌(Ru)。在一些实施例中,固定磁层106可以具有介于约5nm和约10nm的范围内的厚度。
自由磁层110通过介电阻挡层108与固定磁层106分隔开。在一些实施例中,介电阻挡层108可以包括氧化镁(MgO)和/或氧化铝(Al2O3)并且可以具有介于约0.5nm和约2nm的范围内的厚度。在一些实施例中,自由磁层110可以包括钴(Co)、铁(Fe)和硼(B)的组合并且可以具有介于约1nm和约3nm的范围内的厚度。
具有下部116和上部118的导电上电极114设置在自由磁层110上方。在一些实施例中,导电上电极114的下部116和上部118可以包括氮化钛(TiN)、氮化钽(TaN)、钛(Ti)和/或钽(Ta)。在一些实施例中,导电上电极114的下部116和上部118可以包括相同的材料。在其他实施例中,导电上电极114的下部116和上部118可以包括不同的材料。
在一些实施例中,导电上电极114的上部118可以横向延伸超出导电上电极114的下部116一定距离214,距离214介于约20nm和约80nm的范围内。在一些实施例中,导电上电极114的上部118、介电阻挡层108、固定磁层106、反铁磁层104和导电下电极102具有基本上相同的宽度。换句话说,导电上电极114的上部118、介电阻挡层108、固定磁层106、反铁磁层104和导电下电极102具有基本上对准的侧壁。
双包封结构邻近自由磁层110和导电上电极114的下部116横向布置。双包封结构包括使自由磁层110与第二包封层210横向分隔开的第一包封层208。第一包封层208配置为在制造集成芯片200期间保护介电阻挡层108、自由磁层110和导电上电极114的下部116。在一些实施例中,第一包封层208可以包括氮化硅(SiN)和/或碳化硅(SiC)中的一种或多种,而第二包封层210可以包括氮化硅(SiN)、碳化硅(SiC)、二氧化硅(SiO2)、氮氧化硅(SiON)、碳氧化硅(SiOC)和/或低k介电材料中的一种或多种。在各个实施例中,第一包封层208和第二包封层210可以包括相同的材料或不同的材料。
在一些实施例中,第一包封层208具有‘L’形,‘L’形在底侧上邻接介电阻挡层108并且在侧壁上邻接自由磁层110和导电上电极114的下部116。第二包封层210在底侧和侧壁上邻接第一包封层208。在一些实施例中,第一包封层208可以具有介于约10nm和约50nm的范围内的第一厚度216,并且第二包封层210可以具有介于约10nm和约70nm的范围内的第二厚度218。
通孔120设置在导电上电极114的上部118上。通孔120布置在围绕MRAM单元201的第二ILD层206内。在一些实施例中,第二ILD层206通过介电覆盖层212与MRAM单元201分隔开。例如,在一些实施例中,介电覆盖层212可以包括氮化硅(SiN)。
图3示出了包括具有延伸的上电极的一个或多个MRAM单元322的集成芯片300的一些实施例。
集成芯片300包括半导体主体302。例如,半导体主体302可以是诸如块状硅衬底的块状半导体衬底或绝缘体上硅(SOI)衬底。一个或多个选择晶体管304布置在半导体主体302内。在一些实施例中,一个或多个选择晶体管304设置在隔离区305(例如,STI区)之间。
在一些实施例中,一个或多个选择晶体管304可以包括MOSFET(金属-氧化物-硅场效应晶体管)器件。在这样的实施例中,一个或多个选择晶体管304分别包括通过沟道区307分隔开的源极区306和漏极区308。源极区306包括第一掺杂类型(例如,n型掺杂剂),沟道区307包括与第一掺杂类型不同的第二掺杂类型,并且漏极区308包括第一掺杂类型。在一些实施例中,第一掺杂类型包括n型掺杂,而在其他实施例中,第一掺杂类型包括p型掺杂。栅极结构310包括通过栅极氧化物层309与沟道区307分隔开的栅电极311,栅极结构310配置为控制电荷载流子在源极区306和漏极区308之间的流动。在各个实施例中,栅极结构310可以包括掺杂的多晶硅材料或金属材料(例如,TiN、Al等)。在一些实施例中,侧壁间隔件312(例如,SiN间隔件)可以设置在栅电极311的相对两侧上。
后段制程(BEOL)金属堆叠件设置在半导体主体302上方。BEOL金属堆叠件包括配置为将源极区306连接至第一金属引线层315的第一接触件314a,第一金属引线层315用作源极线。BEOL金属堆叠件还包括配置为将漏极区308连接至一个或多个MRAM单元322的多个金属互连层。在一些实施例中,多个金属互连层可以包括一个或多个金属接触件314b和314c,一个或多个金属接触件314b和314c设置在第一层间介电(ILD)层316a内并且配置为将漏极区308电连接至第一金属引线层318a,第一金属引线层318a设置在第二ILD层316b内。多个金属互连层还可以包括第一金属通孔层320a,第一金属通孔层320a设置在第三ILD层316c内并且配置为将第一金属引线层318a电连接至第二金属引线层318b,第二金属引线层318b设置在第三ILD层316c内。一个或多个MRAM单元322设置在第二金属引线层318b和上面的第三金属引线层318c之间的第二金属通孔层320b内。第三金属引线层318c可以配置为用作位线。在一些实施例中,层间介电(ILD)层316由蚀刻停止层(ESL)324(例如,SiN)分隔开。
图4示出了形成具有延伸的上电极的MRAM单元的方法400的一些实施例的流程图。
虽然公开的方法400在本文中示出和描述为一系列的步骤或事件,但是将理解,这些步骤或事件的示出的顺序不应解释为限制意义。例如,一些步骤可以以不同的顺序发生和/或与除了本文中示出和/或描述的那些之外的其他步骤或事件同时发生。此外,可能不是所有示出的步骤对于实施本文中描述的一个或多个方面或实施例都是必须的,并且可以在一个或多个单独的步骤和/或阶段中实施本文中描述的一个或多个步骤。
在步骤402中,在半导体主体上方形成MRAM堆叠件。MRAM堆叠件具有设置在导电下电极层和第一上电极层之间的磁隧道结(MTJ)。在一些实施例中,MTJ包括反铁磁层、固定磁层、介电阻挡层和自由磁层。
在步骤404中,实施第一蚀刻工艺以根据第一掩蔽层图案化第一上电极层,从而形成导电上电极的下部。第一蚀刻工艺也可以蚀刻自由磁层。
在步骤406中,沿着导电上电极的下部的侧壁形成第一包封层。也可以在介电阻挡层上并且沿着自由磁层的侧壁形成第一包封层。在一些实施例中,与第一蚀刻工艺原位形成第一包封层(即,在保持在真空下的相同的反应室内以避免污染或氧化)。在这样的实施例中,第一包封层通过使MTJ不暴露于周围条件(例如,空气)而向MTJ提供保护,MTJ暴露于周围条件可能损坏MTJ的一个或多个层或者使MTJ的一个或多个层退化。
在步骤408中,在第一包封层上方形成第二包封层。
在步骤410中,实施平坦化工艺以暴露导电上电极的下部的上表面。
在步骤412中,在导电上电极的下部、第一包封层和第二包封层上方形成第二上电极层。
在步骤414中,实施第二蚀刻工艺以根据第二掩蔽层图案化第二上电极层,从而形成导电上电极的上部,导电上电极的上部横向延伸超出导电上电极的下部。
在步骤416中,在导电上电极的上部上形成上通孔。
图5至图12示出了用于说明形成具有延伸的上电极的MRAM单元的方法的截面图的一些实施例。虽然关于方法400描述了图5至图12,但是将理解,图5至图12中公开的结构不限于这样的方法,而是可以单独作为独立于该方法的结构。
图5示出了对应于步骤402的集成芯片的截面图500的一些实施例。
如截面图500所示,在半导体主体518上方形成MRAM堆叠件501。MRAM堆叠件501包括多个层,多个层包括导电下电极层502、位于导电下电极层502上面的反铁磁层504、位于反铁磁层504上面的固定磁层506、位于固定磁层506上面的介电阻挡层508、自由磁层510以及位于自由磁层510上面的第一上电极层512。可以通过沉积工艺(例如,化学汽相沉积(CVD)、物理汽相沉积(PVD)、原子层沉积(ALD)等)形成该多个层。
图6示出了对应于步骤404的集成芯片的截面图600的一些实施例。
如截面图600所示,实施第一蚀刻工艺以图案化自由磁层110和第一上电极层604。可以根据第一掩蔽层606通过将第一上电极层604选择性地暴露于第一蚀刻剂602来实施第一蚀刻工艺。在一些实施例中,第一蚀刻剂602可以包括干蚀刻剂。在一些实施例中,干蚀刻剂可以具有包括氧气(O2)、氮气(N2)、氢气(H2)、氩气(Ar)、一氧化碳(CO)、醇类和/或含氟物质(例如,CF4、CHF3、C4F8等)中的一种或多种的蚀刻化学物质。在其他实施例中,第一蚀刻剂602可以包括湿蚀刻剂,湿蚀刻剂包括缓冲氢氟酸(BHF)。
在一些实施例中,第一掩蔽层606可以包括第一硬掩模层。在这样的实施例中,第一硬掩模层可以沉积在第一上电极层604的上表面上。随后可以根据使用光刻方法图案化的光刻胶层来图案化第一硬掩模层。在其他实施例中,第一掩蔽层606可以包括介电层。
图7示出了对应于步骤406的集成芯片的截面图700的一些实施例。
如截面图700所示,在介电阻挡层508上以及沿着自由磁层110和第一上电极层604的侧壁形成第一包封层702。在一些实施例中,可以通过沉积工艺(例如,化学汽相沉积(CVD)、物理汽相沉积(PVD)、原子层沉积(ALD)等)形成第一包封层702。第一包封层702可以包括诸如氮化硅、碳化硅和/或氧化物的介电材料。第一包封层702可以沉积至介于约10nm和约50nm的范围内的厚度。
在一些实施例中,与第一蚀刻工艺原位形成第一包封层702(即,在保持于真空下的相同的平台内以避免由于暴露于外部环境导致的污染或氧化)。在各个实施例中,可以在处理工具的不同腔室内(分别保持在真空下)或在处理工具的相同腔室内完成第一蚀刻工艺和第一包封层702的沉积。通过与第一蚀刻工艺原位形成第一包封层702,MTJ受到保护而免受周围条件的影响,周围条件可能损坏MTJ的一个或多个层或者使MTJ的一个或多个层退化。
图8示出了对应于步骤408的集成芯片的截面图800的一些实施例。
如截面图800所示,在第一包封层702上方形成第二包封层802。可以通过沉积工艺(例如,化学汽相沉积(CVD)、物理汽相沉积(PVD)、原子层沉积(ALD)等)形成第二包封层802。第二包封层802可以包括诸如氮化硅(SiN)、碳化硅(SiC)、二氧化硅(SiO2)、氮氧化硅(SiON)、碳氧化硅(SiOC)和/或低k介电材料的介电材料。第二包封层802可以沉积至介于约10nm和约70nm的范围内的厚度。
图9示出了对应于步骤410的集成芯片的截面图900的一些实施例。
如截面图900所示,实施平坦化工艺。平坦化工艺沿着线902去除第一包封层(例如,图8的元件702)的部分和第二包封层(例如,图8的元件802)的部分,以暴露导电上电极的下部116的上表面。在一些实施例中,平坦化工艺可以包括化学机械抛光(CMP)工艺。在其他实施例中,平坦化工艺可以包括蚀刻工艺。
图10示出了对应于步骤412的集成芯片的截面图1000的一些实施例。
如截面图1000所示,在导电上电极的下部116、第一包封层208和第二包封层210上方形成第二上电极层1002。在一些实施例中,第二上电极层1002的下表面邻接导电上电极的下部116、第一包封层208和第二包封层210的上表面。可以通过沉积工艺(例如,化学汽相沉积(CVD)、物理汽相沉积(PVD)、原子层沉积(ALD)等)形成第二上电极层1002。
图11示出了对应于步骤414的集成芯片的截面图1100和1106的一些实施例。
如截面图1100所示,在第二上电极层1002上方形成第二掩蔽层。在一些实施例中,第二掩蔽层可以包括第二硬掩模层1102。在这样的实施例中,第二硬掩模层1102可以沉积在第二上电极层1002的上表面上。随后可以根据光刻胶层1104图案化第二硬掩模层1102以将第二硬掩模层1102的宽度从w1减小至w2,其中光刻胶层1104是使用光刻方法图案化的。在一些可选实施例中(未示出),第二掩蔽层可以包括光刻胶层。
如截面图1106所示,根据图案化的第二硬掩模层1102’实施第二蚀刻工艺以将导电上电极114的上部118限定在导电上电极114的下部116上面。导电上电极114的上部118横向延伸超出导电上电极114的下部116。第二蚀刻工艺可以使导电上电极114的上部118的侧壁与介电阻挡层108、固定磁层106、反铁磁层104和导电下电极102的侧壁横向对准。
可以通过根据图案化的第二硬掩模层1102’将第二上电极层1002选择性地暴露于第二蚀刻剂1108来实施第二蚀刻工艺。在一些实施例中,第二蚀刻剂1108可以包括干蚀刻剂,干蚀刻剂具有包括氧气(O2)、氮气(N2)、氢气(H2)、氩气(Ar)和/或含氟物质(例如,CF4、CHF3、C4F8等)中的一种或多种的蚀刻化学物质。在其他实施例中,第二蚀刻剂1108可以包括湿蚀刻剂,湿蚀刻剂包括缓冲氢氟酸(BHF)。
图12示出了对应于步骤416的集成芯片的截面图1200的一些实施例。
如截面图1200所示,在导电上电极114的上部118上的ILD层206内形成通孔120。ILD层206形成为围绕MRAM单元201。在一些实施例中,可以在形成ILD层206之前,在MRAM单元201上形成覆盖层212。然后选择性地蚀刻ILD层206以形成开口,随后在开口中沉积导电材料(例如,铜、铝等)。可以使用沉积工艺和/或镀工艺(例如,电镀、化学镀等)沉积导电材料。在一些实施例中,ILD层206可以包括低k介电层、极低k介电层或二氧化硅层。例如,在一些实施例中,覆盖层212可以包括氮化硅(SiN)。
因此,本发明涉及具有延伸的上电极的磁阻式随机存取存储器(MRAM)单元和形成方法。
在一些实施例中,本发明涉及一种磁阻式随机存取存储器(MRAM)器件。MRAM器件包括设置在导电下电极上方的磁隧道结(MTJ)。MRAM器件还包括导电上电极,导电上电极包括垂直地布置在磁隧道结上方并且由包封结构横向围绕的下部和位于下部和包封结构上的上部。导电上电极的上部横向延伸超出导电上电极的下部。
在上述MRAM器件中,还包括:反铁磁层,设置在所述导电下电极上方;固定磁层,布置在所述反铁磁层上方;介电阻挡层,布置在所述固定磁层上方;以及自由磁层,布置在所述介电阻挡层上方。
在上述MRAM器件中,还包括:反铁磁层,设置在所述导电下电极上方;固定磁层,布置在所述反铁磁层上方;介电阻挡层,布置在所述固定磁层上方;以及自由磁层,布置在所述介电阻挡层上方,其中,所述导电上电极的所述上部、所述介电阻挡层、所述固定磁层、所述反铁磁层和所述导电下电极具有基本上相同的宽度。
在上述MRAM器件中,还包括:反铁磁层,设置在所述导电下电极上方;固定磁层,布置在所述反铁磁层上方;介电阻挡层,布置在所述固定磁层上方;以及自由磁层,布置在所述介电阻挡层上方,其中,所述包封结构包括通过第一包封层与所述导电上电极的所述下部横向地分隔开的第二包封层。
在上述MRAM器件中,还包括:反铁磁层,设置在所述导电下电极上方;固定磁层,布置在所述反铁磁层上方;介电阻挡层,布置在所述固定磁层上方;以及自由磁层,布置在所述介电阻挡层上方,其中,所述包封结构包括通过第一包封层与所述导电上电极的所述下部横向地分隔开的第二包封层,所述第一包封层具有在底侧上邻接所述介电阻挡层并且在侧壁上邻接所述自由磁层和所述导电上电极的所述下部的‘L’形;以及其中,所述第二包封层的底侧和侧壁邻接所述第一包封层。
在上述MRAM器件中,还包括:反铁磁层,设置在所述导电下电极上方;固定磁层,布置在所述反铁磁层上方;介电阻挡层,布置在所述固定磁层上方;以及自由磁层,布置在所述介电阻挡层上方,其中,所述包封结构包括通过第一包封层与所述导电上电极的所述下部横向地分隔开的第二包封层,所述导电上电极的所述上部的下表面邻接所述第一包封层和所述第二包封层的上表面。
在上述MRAM器件中,还包括:反铁磁层,设置在所述导电下电极上方;固定磁层,布置在所述反铁磁层上方;介电阻挡层,布置在所述固定磁层上方;以及自由磁层,布置在所述介电阻挡层上方,其中,所述包封结构包括通过第一包封层与所述导电上电极的所述下部横向地分隔开的第二包封层,所述导电上电极的所述上部延伸超出所述导电上电极的所述下部的距离介于约20nm和约80nm的范围内。
在上述MRAM器件中,还包括:反铁磁层,设置在所述导电下电极上方;固定磁层,布置在所述反铁磁层上方;介电阻挡层,布置在所述固定磁层上方;以及自由磁层,布置在所述介电阻挡层上方,其中,所述包封结构包括通过第一包封层与所述导电上电极的所述下部横向地分隔开的第二包封层,所述导电上电极的所述上部的侧壁与所述第二包封层的侧壁基本上对准。
在上述MRAM器件中,还包括:通孔,邻接所述导电上电极的所述上部的上表面。
在上述MRAM器件中,其中,所述导电下电极电连接至选择晶体管的漏极,所述选择晶体管的漏极设置在所述导电下电极下面的半导体主体内。在其他实施例中,本发明涉及一种磁阻式随机存取存储器(MRAM)器件。MRAM器件包括设置在导电下电极上方的反铁磁层、布置在反铁磁层上方的固定磁层、布置在固定磁层上方的介电阻挡层和布置在介电阻挡层上方的自由磁层,其中导电下电极邻接下金属互连层。MRAM器件还包括双包封结构,双包封结构具有通过第一包封层与自由磁层横向分隔开的第二包封层。MRAM器件还包括导电上电极,导电上电极包括布置在自由磁层上并且由双包封结构横向围绕的下部和位于下部和双包封结构上的上部。
在上述MRAM器件中,其中,所述第一包封层具有在底侧上邻接所述介电阻挡层并且在侧壁上邻接所述自由磁层和所述导电上电极的所述下部的‘L’形;以及其中,所述第二包封层的底侧和侧壁邻接所述第一包封层。
在上述MRAM器件中,其中,所述导电上电极的所述上部的下表面邻接所述第一包封层和所述第二包封层的上表面。
在上述MRAM器件中,其中,所述导电上电极的所述上部延伸超出所述导电上电极的所述下部的距离介于约20nm和约80nm的范围内。
在上述MRAM器件中,其中,所述导电上电极的所述上部的侧壁与所述第二包封层的侧壁基本上对准。
在上述MRAM器件中,其中,所述导电下电极电连接至选择晶体管的漏极,所述选择晶体管的漏极设置在位于所述导电下电极下面的半导体主体内。
在上述MRAM器件中,其中,所述导电上电极的所述上部、所述介电阻挡层、所述固定磁层、所述反铁磁层和所述导电下电极具有基本上相同的宽度。
在又其他实施例中,本发明涉及一种形成MRAM单元的方法。该方法包括形成具有磁隧道结(MTJ)的MRAM堆叠件,MTJ设置在导电下电极层和第一上电极层之间。该方法还包括实施第一蚀刻工艺,第一蚀刻工艺图案化第一上电极层以形成导电上电极的下部。该方法还包括形成横向围绕导电上电极的下部的包封结构。该方法还包括在导电上电极的下部和包封结构上方形成第二上电极层。该方法还包括实施第二蚀刻工艺,第二蚀刻工艺图案化第二上电极层以形成导电上电极的上部,导电上电极的上部横向延伸超出导电上电极的下部。
在上述方法中,其中,所述MRAM堆叠件包括:反铁磁层,设置在所述下电极层上方;固定磁层,布置在所述反铁磁层上方;介电阻挡层,布置在所述固定磁层上方;以及自由磁层,布置在所述介电阻挡层上方。
在上述方法中,其中,所述MRAM堆叠件包括:反铁磁层,设置在所述下电极层上方;固定磁层,布置在所述反铁磁层上方;介电阻挡层,布置在所述固定磁层上方;以及自由磁层,布置在所述介电阻挡层上方,其中,以使得所述导电上电极的所述上部的侧壁与所述下电极层、所述反铁磁层、所述介电阻挡层和所述固定磁层的侧壁基本上对准的方式,所述第二蚀刻工艺也蚀刻所述下电极层、所述反铁磁层、所述介电阻挡层和所述固定磁层。
上面概述了若干实施例的特征,使得本领域技术人员可以更好地理解本发明的方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与本文所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中他们可以做出多种变化、替换以及改变。

Claims (17)

1.一种磁阻式随机存取存储器MRAM器件,包括:
磁隧道结(MTJ),设置在导电下电极上方,所述磁隧道结包括:
反铁磁层,设置在所述导电下电极上方;
固定磁层,布置在所述反铁磁层上方;
介电阻挡层,布置在所述固定磁层上方;以及
自由磁层,布置在所述介电阻挡层上方;
包封结构,直接设置在所述介电阻挡层上方并且横向地围绕所述自由磁层;
导电上电极,包括垂直地布置在所述磁隧道结上方并且由包封结构横向地围绕的下部以及位于所述下部和所述包封结构上的上部,其中,所述下部的底面与所述自由磁层的顶面接触;其中,所述导电上电极的所述上部横向地延伸超出所述导电上电极的所述下部,以及
所述导电上电极的所述上部的侧壁与所述包封结构的侧壁对准。
2.根据权利要求1所述的MRAM器件,其中,所述导电上电极的所述上部、所述介电阻挡层、所述固定磁层、所述反铁磁层和所述导电下电极具有相同的第一宽度,其中,所述第一宽度大于所述导电上电极的所述下部和所述自由磁层的第二宽度。
3.根据权利要求1所述的MRAM器件,其中,所述包封结构包括通过第一包封层与所述导电上电极的所述下部横向地分隔开的第二包封层;以及
其中,所述第一包封层从所述介电阻挡层的上表面延伸至所述导电上电极的所述上部的下表面。
4.根据权利要求3所述的MRAM器件,
其中,所述第一包封层具有在底侧上邻接所述介电阻挡层并且在侧壁上邻接所述自由磁层和所述导电上电极的所述下部的‘L’形;以及
其中,所述第二包封层的底侧和侧壁邻接所述第一包封层。
5.根据权利要求3所述的MRAM器件,其中,所述导电上电极的所述上部的下表面邻接所述第一包封层和所述第二包封层的上表面。
6.根据权利要求3所述的MRAM器件,其中,所述导电上电极的所述上部延伸超出所述导电上电极的所述下部的距离介于20nm和80nm的范围内。
7.根据权利要求3所述的MRAM器件,其中,所述导电上电极的所述上部的侧壁与所述第二包封层的侧壁对准。
8.根据权利要求1所述的MRAM器件,还包括:
通孔,邻接所述导电上电极的所述上部的上表面。
9.根据权利要求1所述的MRAM器件,其中,所述导电下电极电连接至选择晶体管的漏极,所述选择晶体管的漏极设置在所述导电下电极下面的半导体主体内。
10.一种磁阻式随机存取存储器MRAM器件,包括:
反铁磁层,设置在导电下电极上方,所述导电下电极邻接下金属互连层;
固定磁层,布置在所述反铁磁层上方;
介电阻挡层,布置在所述固定磁层上方,其中,所述反铁磁层的侧壁与所述固定磁层和所述介电阻挡层的侧壁对准;
自由磁层,布置在所述介电阻挡层上方;
双包封结构,具有通过第一包封层与所述自由磁层横向地分隔开的第二包封层;以及
导电上电极,包括布置在所述自由磁层上并且由所述双包封结构横向地围绕的下部以及位于所述下部和所述双包封结构上的上部,其中,所述下部的底面与所述自由磁层的顶面接触,所述上部的侧壁与所述双包封结构的侧壁对准,
其中,所述第一包封层具有在底侧上邻接所述介电阻挡层并且在侧壁上邻接所述自由磁层和所述导电上电极的所述下部的‘L’形。
11.根据权利要求10所述的MRAM器件,
其中,所述第二包封层的底侧和侧壁邻接所述第一包封层。
12.根据权利要求10所述的MRAM器件,其中,所述导电上电极的所述上部的下表面邻接所述第一包封层和所述第二包封层的上表面。
13.根据权利要求10所述的MRAM器件,其中,所述导电上电极的所述上部延伸超出所述导电上电极的所述下部的距离介于20nm和80nm的范围内。
14.根据权利要求10所述的MRAM器件,其中,所述导电上电极的所述上部的侧壁与所述第二包封层的侧壁对准。
15.根据权利要求10所述的MRAM器件,其中,所述导电下电极电连接至选择晶体管的漏极,所述选择晶体管的漏极设置在位于所述导电下电极下面的半导体主体内。
16.根据权利要求10所述的MRAM器件,其中,所述导电上电极的所述上部、所述介电阻挡层、所述固定磁层、所述反铁磁层和所述导电下电极具有相同的宽度。
17.一种形成MRAM单元的方法,包括:
形成具有磁隧道结(MTJ)的MRAM堆叠件,所述磁隧道结设置在下电极层和第一上电极层之间,其中,所述MRAM堆叠件包括:
反铁磁层,设置在所述下电极层上方;
固定磁层,布置在所述反铁磁层上方;
介电阻挡层,布置在所述固定磁层上方;以及
自由磁层,布置在介电阻挡层上方;
实施第一蚀刻工艺,所述第一蚀刻工艺图案化所述第一上电极层和所述自由磁层以形成导电上电极的下部;
形成横向地围绕所述导电上电极的所述下部和所述自由磁层的包封结构,其中,所述包封结构直接设置在所述介电阻挡层上方;
在所述导电上电极的所述下部和所述包封结构上方形成第二上电极层;以及
实施第二蚀刻工艺,所述第二蚀刻工艺图案化所述第二上电极层、所述下电极层、所述反铁磁层、所述介电阻挡层和所述固定磁层以形成所述导电上电极的上部,并且使得所述导电上电极的所述上部的侧壁与所述下电极层、所述反铁磁层、所述介电阻挡层和所述固定磁层的侧壁对准,所述导电上电极的所述上部横向地延伸超出所述导电上电极的所述下部,其中,所述下部的底面与所述自由磁层的顶面接触,所述上部的侧壁与所述包封结构的侧壁对准。
CN201510570350.6A 2015-04-16 2015-09-09 用于工艺损伤最小化的mram结构 Active CN106058041B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/688,073 US9847473B2 (en) 2015-04-16 2015-04-16 MRAM structure for process damage minimization
US14/688,073 2015-04-16

Publications (2)

Publication Number Publication Date
CN106058041A CN106058041A (zh) 2016-10-26
CN106058041B true CN106058041B (zh) 2020-07-31

Family

ID=57129025

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510570350.6A Active CN106058041B (zh) 2015-04-16 2015-09-09 用于工艺损伤最小化的mram结构

Country Status (3)

Country Link
US (1) US9847473B2 (zh)
CN (1) CN106058041B (zh)
TW (1) TWI576992B (zh)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170062520A1 (en) * 2015-09-01 2017-03-02 Kabushiki Kaisha Toshiba Magnetoresistive memory device and manufacturing method of the same
US9905751B2 (en) * 2015-10-20 2018-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Magnetic tunnel junction with reduced damage
US9837603B1 (en) * 2016-10-12 2017-12-05 Everspin Technologies, Inc. Post-etch encapsulation for a magnetoresistive device
US9905282B1 (en) * 2017-05-30 2018-02-27 Globalfoundries Singapore Pte. Ltd. Top electrode dome formation
US10115769B1 (en) * 2017-06-13 2018-10-30 Macronix International Co., Ltd. Resistive random access memory device and method for manufacturing the same
US11289651B2 (en) * 2017-09-01 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device having via landing protection
TWI688130B (zh) 2017-11-28 2020-03-11 財團法人工業技術研究院 自旋軌道磁性記憶體及其製造方法
US10644231B2 (en) * 2017-11-30 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and fabrication method thereof
US10522740B2 (en) * 2018-05-29 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Techniques for MRAM MTJ top electrode to metal layer interface including spacer
CN110660902B (zh) * 2018-06-28 2022-11-18 台湾积体电路制造股份有限公司 存储器装置、集成电路及制造存储器装置的方法
US10714680B2 (en) * 2018-08-27 2020-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Large height tree-like sub 30nm vias to reduce conductive material re-deposition for sub 60nm MRAM devices
CN110890461B (zh) * 2018-09-07 2023-05-02 联华电子股份有限公司 嵌入式磁阻式随机存取存储器的制造方法
US11127788B2 (en) * 2018-10-31 2021-09-21 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device having magnetic tunnel junction (MTJ) stack
US11056643B2 (en) 2019-01-03 2021-07-06 International Business Machines Corporation Magnetic tunnel junction (MTJ) hard mask encapsulation to prevent redeposition
US10770652B2 (en) 2019-01-03 2020-09-08 International Business Machines Corporation Magnetic tunnel junction (MTJ) bilayer hard mask to prevent redeposition
TWI801609B (zh) * 2019-06-19 2023-05-11 聯華電子股份有限公司 磁阻式隨機存取記憶體結構及其製作方法
US11244983B2 (en) 2019-06-25 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. MRAM memory cell layout for minimizing bitcell area
JP2021044359A (ja) * 2019-09-10 2021-03-18 キオクシア株式会社 磁気記憶装置
US20210273156A1 (en) * 2020-02-27 2021-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic tunnel junction structures with protection outer layers
US11882776B2 (en) 2020-04-07 2024-01-23 Tokyo Electron Limited In-situ encapsulation of metal-insulator-metal (MIM) stacks for resistive random access memory (RERAM) cells
CN113903764A (zh) 2020-07-07 2022-01-07 联华电子股份有限公司 半导体元件及其制作方法
US11659774B2 (en) 2020-11-25 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for MRAM devices having spacer element
US11545202B2 (en) * 2021-04-30 2023-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Circuit design and layout with high embedded memory density

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110049656A1 (en) * 2009-08-27 2011-03-03 Qualcomm Incorporated Magnetic Tunnel Junction Device and Fabrication
CN102160204A (zh) * 2008-09-24 2011-08-17 高通股份有限公司 利用保护性侧壁钝化的磁性元件
CN102272964A (zh) * 2008-11-11 2011-12-07 希捷科技有限公司 具有放射状阻挡体的磁存储器单元
US20120074511A1 (en) * 2010-09-17 2012-03-29 Kabushiki Kaisha Toshiba Magnetic memory and method of manufacturing the same
CN102823008A (zh) * 2010-03-29 2012-12-12 高通股份有限公司 磁性隧道结存储元件及其制造方法
CN102983148A (zh) * 2011-09-07 2013-03-20 株式会社东芝 存储装置及其制造方法
US20130119494A1 (en) * 2011-11-10 2013-05-16 Qualcomm Incorporated Mtj structure and integration scheme
US20140264679A1 (en) * 2013-03-15 2014-09-18 Kevin J. Lee Logic chip including embedded magnetic tunnel junctions
CN104425706A (zh) * 2013-09-03 2015-03-18 台湾积体电路制造股份有限公司 反转的mtj堆叠件

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002314049A (ja) 2001-04-18 2002-10-25 Nec Corp 磁性メモリ及びその製造方法
US6952364B2 (en) * 2003-03-03 2005-10-04 Samsung Electronics Co., Ltd. Magnetic tunnel junction structures and methods of fabrication
US7399646B2 (en) 2005-08-23 2008-07-15 International Business Machines Corporation Magnetic devices and techniques for formation thereof
US8110881B2 (en) 2007-09-27 2012-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. MRAM cell structure with a blocking layer for avoiding short circuits
US9136463B2 (en) * 2007-11-20 2015-09-15 Qualcomm Incorporated Method of forming a magnetic tunnel junction structure
US7723128B2 (en) 2008-02-18 2010-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ formed capping layer in MTJ devices
US7781231B2 (en) 2008-03-07 2010-08-24 Qualcomm Incorporated Method of forming a magnetic tunnel junction device
US8455267B2 (en) * 2009-05-14 2013-06-04 Qualcomm Incorporated Magnetic tunnel junction device and fabrication
US8278122B2 (en) 2010-01-29 2012-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming MTJ cells
US8557610B2 (en) 2011-02-14 2013-10-15 Qualcomm Incorporated Methods of integrated shielding into MTJ device for MRAM
US8921959B2 (en) 2011-07-26 2014-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. MRAM device and fabrication method thereof
US9166153B2 (en) 2013-02-08 2015-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. System and process to remove film from semiconductor devices
US9406875B2 (en) * 2013-12-17 2016-08-02 Qualcomm Incorporated MRAM integration techniques for technology scaling

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102160204A (zh) * 2008-09-24 2011-08-17 高通股份有限公司 利用保护性侧壁钝化的磁性元件
CN102272964A (zh) * 2008-11-11 2011-12-07 希捷科技有限公司 具有放射状阻挡体的磁存储器单元
US20110049656A1 (en) * 2009-08-27 2011-03-03 Qualcomm Incorporated Magnetic Tunnel Junction Device and Fabrication
CN102823008A (zh) * 2010-03-29 2012-12-12 高通股份有限公司 磁性隧道结存储元件及其制造方法
US20120074511A1 (en) * 2010-09-17 2012-03-29 Kabushiki Kaisha Toshiba Magnetic memory and method of manufacturing the same
CN102983148A (zh) * 2011-09-07 2013-03-20 株式会社东芝 存储装置及其制造方法
US20130119494A1 (en) * 2011-11-10 2013-05-16 Qualcomm Incorporated Mtj structure and integration scheme
US20140264679A1 (en) * 2013-03-15 2014-09-18 Kevin J. Lee Logic chip including embedded magnetic tunnel junctions
CN104425706A (zh) * 2013-09-03 2015-03-18 台湾积体电路制造股份有限公司 反转的mtj堆叠件

Also Published As

Publication number Publication date
US9847473B2 (en) 2017-12-19
TW201639121A (zh) 2016-11-01
CN106058041A (zh) 2016-10-26
US20160308119A1 (en) 2016-10-20
TWI576992B (zh) 2017-04-01

Similar Documents

Publication Publication Date Title
CN106058041B (zh) 用于工艺损伤最小化的mram结构
KR102281557B1 (ko) 스페이서를 포함한 mram mtj 최상부 전극과 금속층간 계면을 위한 기술들
US20200350491A1 (en) Semiconductor structure and method of forming the same
CN107565016B (zh) 半导体存储器件及其制造方法
CN110875352B (zh) 集成电路、mram单元和用于制造存储器件的方法
KR101669720B1 (ko) 자기저항 랜덤 액세스 메모리 구조물 및 그 형성 방법
US11004901B2 (en) Magnetic random access memory and manufacturing method thereof
US10008387B1 (en) Embedded memory in back-end-of-line low-k dielectric
CN113450848B (zh) 磁阻式随机存取存储器器件及其形成方法
KR20180125950A (ko) Mram 디바이스의 통합을 위한 인터커넥트 캡핑 공정 및 결과적 구조체
TW201729414A (zh) 半導體結構及其形成方法
US20220285438A1 (en) Magnetic tunnel junction device with residue-protection sidewall spacer and the method for forming a magnetic tunnel junction device with residue-protection sidewall spacer
JP2008211011A (ja) 磁気記憶装置の製造方法および磁気記憶装置
TW201923762A (zh) 半導體結構與製造方法
CN111564468A (zh) 半导体元件及其制作方法
US10879455B2 (en) Methods of fabricating magneto-resistive random-access memory (MRAM) devices to avoid damaging magnetic tunnel junction (MTJ) structure
US11527710B2 (en) Semiconductor device and method for fabricating the same
US11411175B2 (en) Self-aligned and misalignment-tolerant landing pad for magnetoresistive random access memory
US11121307B2 (en) Semiconductor device and method for fabricating the same
CN113471357A (zh) 存储器阵列器件及其制造方法
TWI760119B (zh) 積體電路與其形成方法
US20220115584A1 (en) Semiconductor device and method for fabricating the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant