TWI760119B - 積體電路與其形成方法 - Google Patents

積體電路與其形成方法 Download PDF

Info

Publication number
TWI760119B
TWI760119B TW110106735A TW110106735A TWI760119B TW I760119 B TWI760119 B TW I760119B TW 110106735 A TW110106735 A TW 110106735A TW 110106735 A TW110106735 A TW 110106735A TW I760119 B TWI760119 B TW I760119B
Authority
TW
Taiwan
Prior art keywords
layer
dielectric layer
tunnel junction
magnetic tunnel
etch stop
Prior art date
Application number
TW110106735A
Other languages
English (en)
Other versions
TW202133329A (zh
Inventor
學理 莊
陳勝昌
王宏烵
黃勝煌
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202133329A publication Critical patent/TW202133329A/zh
Application granted granted Critical
Publication of TWI760119B publication Critical patent/TWI760119B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/10Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having two electrodes, e.g. diodes or MIM elements
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/20Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors
    • H10B61/22Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors of the field-effect transistor [FET] type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/10Magnetoresistive devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N59/00Integrated devices, or assemblies of multiple devices, comprising at least one galvanomagnetic or Hall-effect element covered by groups H10N50/00 - H10N52/00

Abstract

本揭露涉及具有延伸的頂部電極的磁阻式隨機存取記憶體單元及其形成方法。在部分實施例中,磁阻式隨機存取記憶體單元具有配置在導電底部電極上方的磁性穿隧接面。兩個保護層依次地包圍磁性穿隧接面的側壁。此兩個保護層彼此之間具有蝕刻選擇性。

Description

積體電路與其形成方法
本揭露涉及積體電路及其形成方法。
磁阻式隨機存取記憶體(magnetoresistive random-access memory,MRAM)是一種有前景的非揮發性數據儲存技術。磁阻式隨機存取記憶體儲存單元(或「位元」)的核心是磁性穿隧接面(magnetic tunnel junction,MTJ),其中介電層夾在磁性固定層(參考層)和磁性自由層(自由層)之間,其磁化方向可以被改變。由於穿隧磁阻效應,參考層和自由層之間的電阻值會隨自由層中的磁化方向翻轉而變化。平行磁化(parallel magnetizations)(P狀態)會導致較低的電阻,而反平行磁化(antiparallel magnetizations)(AP狀態)會導致較高的電阻。電阻值的兩個狀態被認為是儲存在磁阻式隨機存取記憶體單元中的兩個邏輯狀態「1」或「0」。
在自旋轉移力矩磁阻式隨機存取記憶體(spin transfer torque magnetoresistive random- access memory,STT-MRAM)單元中,施加寫入電流使其通過整個磁性穿隧接面(即,參考層、介電層和自由層),其透過自旋轉移力矩效應設置了自由層的磁化方向。即,寫入電流的通過路徑與磁阻式隨機存取記憶體的讀取路徑相同。在自旋軌道力矩磁阻式隨機存取記憶體(spin-orbit torque magnetoresistive random-access memory,SOT-MRAM)單元中,磁性穿隧接面結構位於自旋軌道相互作用較大的重金屬層上。自由層與重金屬層直接接觸。在自旋軌道耦合效應下,透過重金屬層注入的平面內電流(in-plane current)感應出自旋力矩,其通常包括Rashba效應或自旋霍爾效應(spin Hall effect,SHE effect)中的一種或多種。寫入電流不會通過垂直磁性穿隧接面。相反地,寫入電流會流過重金屬層。自由層中的磁化方向是透過自旋軌道力矩效應來設定的。更具體地,當將電流在平面內注入重金屬層中時,自旋軌道耦合會導致正交的自旋電流,此正交的自旋電流會產生自旋力矩並在自由層中引起磁化反轉。
依據本揭露之部分實施例,提供一種積體電路,包括:垂直磁性穿隧接面堆疊、第一電極、第二電極、第一介電層和第二介電層。垂直磁性穿隧接面堆疊具有第一磁性層、第二磁性層和在第一磁性層和第二磁性層之間的阻擋層。第一電極在垂直磁性穿隧接面堆疊下。第二電極在 垂直磁性穿隧接面堆疊上。第一介電層橫向地包圍垂直磁性穿隧接面堆疊。第二介電層橫向地包圍第一介電層。
依據本揭露之部分實施例,提供一種方法,包含:形成第一介電層在晶片的第一區域上,第一區域包含在蝕刻停止層上的垂直磁性穿隧接面結構,蝕刻停止層延伸穿過橫向地位於第一區域旁邊之晶片的第二區域;形成第二介電層在晶片的第一區域上並包圍第一介電層;形成第三介電層在晶片的第一區域和第二區域上並包圍第二介電層;在第一蝕刻操作中,在第三介電層中形成第一通孔以暴露在垂直磁性穿隧結構上之第二介電層的第一部分,並且形成第二通孔以暴露在第二區域之蝕刻停止層的第二部分;在第二蝕刻操作中,去除從第一通孔暴露的第二介電層的第一部分,並透過第一通孔暴露第一介電層的第三部分,並且去除從第二通孔暴露的蝕刻停止層的第二部分;透過濕式清潔去除第一介電層的第三部分;以及形成第一互連結構在與垂直磁性穿隧接面結構接觸的第一通孔中,並且形成第二互連結構在延伸穿過蝕刻停止層的第二通孔中。
依據本揭露之部分實施例,提供一種積體電路,包含:基板、第一層間介電層、蝕刻停止層、第二層間介電層、第二層間介電層、垂直磁性穿隧接面堆疊、第一保護層、第二保護層、第一電極、第二電極、第一互連結構和第二互連結構。第一層間介電層在基板上。第一金屬化特徵和第二金屬化特徵在第一層間介電層中。蝕刻停止層在第一層間介電層上。第二層間介電層在蝕刻停止層上。垂 直磁性穿隧接面堆疊在第二層間介電層中,垂直磁性穿隧接面堆疊具有第一磁性層、第二磁性層和垂直地在第一磁性層與第二磁性層之間的阻擋層。第一保護層包圍垂直磁性穿隧接面堆疊的側壁。第二保護層包圍第一保護層。第一電極在垂直磁性穿隧接面堆疊下,延伸穿過蝕刻停止層並接觸第一金屬化特徵。第二電極在垂直磁性穿隧接面堆疊上。第一互連結構從第二層間介電層的表面延伸到第二電極。第二互連結構從第二層間介電層的表面延伸到第二金屬化特徵。
100:積體電路
102:基板
110:第一區域
112:磁阻式隨機存取記憶體單元
114:磁阻式隨機存取記憶體單元
116:金屬化特徵
118:金屬化特徵
120:第二區域
122:層間介電質層
123:層間介電質層
124:磁性穿隧接面結構
125:複合蝕刻停止層
126:磁性穿隧接面結構
127:層
128:底部電極
129:層
130:底部電極
132:頂部電極
133:側壁
134:頂部電極
135:側壁
136:底部電極通孔
137:上表面
138:底部電極通孔
139:上表面
140:疊層
142:保護層
143:側壁
144:保護層
145:側壁
146:間隔層
148:間隔層
152:金屬互連結構
154:金屬互連結構
156:金屬互連結構
158:上表面
204:反鐵磁性層
206:釘扎磁性層
208:介電質阻擋層
210:自由磁性層
300:晶片
302:半導體本體
304:電晶體
305:隔離區域
306:源極區域
307:通道區域
308:汲極區域
309:閘極氧化物層
310:閘極結構
311:閘極電極
312:側壁間隔物
314:接觸通孔
314a:接觸通孔
314b:接觸通孔
314c:接觸通孔
318:金屬化特徵
320:金屬化特徵
322:層間介電質層
328:阻擋層
329:蝕刻停止層
400:晶片
402:層
404:層
410:表面
412:抗反射層
414:硬遮罩層
416:硬遮罩層
420:孔
422:孔
424:通孔
426:通孔
429:尺寸
430:通孔
432:通孔
434:部分
436:部分
438:部分
439:導電材料
440:部分
441:尺寸
442:互連結構
444:互連結構
510:凸塊
520:塗層
522:表面
524:殘留部分
AlOx:氧化鋁
當結合附圖閱讀時,根據以下詳細描述可以最好地理解本揭露的各方面。在附圖中,除非上下文另外指出,否則相同的附圖標記表示相似的元件或步驟。附圖中元件的尺寸和相對位置不必按比例繪製。實際上,為了討論的清楚,各種特徵的尺寸可以任意地增加或減小。
第1圖是根據本揭露的示例性實施例之示例磁阻式隨機存取記憶體結構。
第2圖是根據本揭露的示例性實施例之示例磁阻式隨機存取記憶體單元。
第3A圖至第3D圖繪示根據本揭露的示例性實施例之處於第一製造製程的不同階段的晶片。
第4A圖至第4K圖繪示根據本揭露的示例性實施例之 處於第二製造製程的不同階段的晶片。
第5A圖至第5D圖繪示根據本揭露的示例性實施例之處於第三製造製程的不同階段的晶片。
以下公開提供了用於實現本揭露之不同特徵的許多不同的實施例或示例。以下描述元件和配置的特定示例以簡化本揭露。當然,這些僅是示例,並不旨在進行限制。例如,在下面的描述中,在第二特徵之上或上方形成第一特徵可以包括第一特徵和第二特徵以直接接觸形成的實施例,並且還可以包括在第一特徵和第二特徵之間形成附加的特徵,使得第一特徵和第二特徵可以不直接接觸的實施例。另外,本揭露可以在各個示例中重複參考數字和/或文字。此重複是出於簡單和清楚的目的,並且其本身並不指示所討論的各種實施例和/或配置之間的關係。
更甚者,空間相對的詞彙(例如,「低於」、「下方」、「之下」、「上方」、「之上」等相關詞彙)於此用以簡單描述如圖所示之元件或特徵與另一元件或特徵的關係。在使用或操作時,除了圖中所繪示的轉向之外,這些空間相對的詞彙涵蓋裝置的不同轉向。再者,這些裝置可旋轉(旋轉90度或其他角度),且在此使用之空間相對的描述語可作對應的解讀。
在以下描述中,闡述了部分特定的細節,以便提供對本揭露的各種實施例的透徹理解。然而,本領域具普通 知識者將理解,可以在沒有這些具體細節的情況下實踐本揭露。在其他情況下,未詳細描述與電子元件和製造技術相關聯的公知結構,以避免不必要地使本揭露的實施例的描述不清楚。
除非上下文另外要求,否則在整個說明書和隨後的請求項中,詞語「包括」及其變體(例如,「包含」和「由...組成」)應以開放、包容的含義來解釋,即,表示為「包括但不限於」。
諸如第一、第二和第三之類的序數的使用不一定暗示順序,而是可以僅作為在多個實例中區分步驟或結構。
在整個說明書中對「一個實施例」或「一實施例」的引用是指在至少一個實施例中包括結合此實施例描述的特定特徵、結構或特性。因此,在整個說明書中各處出現的短語「在一個實施例中」或「在一實施例中」不一定都指的是同一個實施例。此外,在一個或多個實施例中,可以使用任何合適的方式組合特定的特徵、結構或特性。
如在本揭露和所附請求項中所使用的,除非本揭露另外明確地指出,否則單數形式「一個」、「一種」和「該」包括複數指示物。還應理解,除非本揭露清楚地另外指出,否則術語「或」通常以包括「和/或」的意義使用。
本揭露針對一種在整合的製程中形成通向磁性穿隧接面結構和邏輯裝置的連接特徵的互連結構的方法。磁性穿隧接面結構形成在連接特徵所屬的金屬化層上。因此,連接到磁性穿隧接面結構的第一互連結構比連接到邏輯裝 置的連接特徵的第二互連結構短,並且通常比連接到邏輯裝置的連接特徵的第二互連結構寬。在整合的孔蝕刻製程中(例如,一起蝕刻通向磁性穿隧接面結構的孔和通向連接特徵的孔),磁性穿隧接面結構會暴露於較大劑量的蝕刻劑。
在磁性穿隧接面結構上形成兩層介電質材料。兩層介電質材料可防止高劑量蝕刻劑損壞磁性穿隧接面結構。在部分實施例中,兩層介電質材料的外層/上層包括與蝕刻停止層相同的介電質材料,其中此蝕刻停止層位於層間介電層之間(例如,在邏輯裝置的連接特徵上方)。如此,相同的蝕刻製程將在通向磁性穿隧接面的孔中去除介電質材料的外層,並且將在通向連接特徵的孔中去除蝕刻停止層。兩層介電質材料的內層/下層具有比外層/上層高的蝕刻選擇性。因此,蝕刻製程至少不會完全地去除介電質材料的內層。即,在分別地形成通向磁性穿隧接面結構的孔和通向邏輯裝置的連接特徵的孔的蝕刻製程之後,磁性穿隧接面結構仍然被內層覆蓋。介電質材料的內層對用於通向連接特徵的孔和通向磁性穿隧接面結構的孔的濕式清潔的酸性溶液敏感。因此,濕式清潔製程會移除暴露在通向磁性穿隧接面結構的孔中的介電質材料的內層/下層,並且在相應的孔中暴露磁性穿隧接面結構。
在濕式清潔之後,在相同的金屬沉積製程中,在通向磁性穿隧接面結構的孔中以及通向連接特徵的孔中形成導電材料。
在積體電路(integrated circuit,IC)中,在後段製程(back-end-of-line process)的一部分中形成磁性穿隧接面結構。磁性穿隧接面結構包括垂直磁性穿隧接面堆疊,此垂直磁性穿隧接面堆疊具有自由磁性層、固定磁性層以及在自由磁性層和固定磁性層之間的阻擋層。間隔物(例如,氮化矽(SiN))橫向地包圍磁性穿隧接面堆疊。頂部電極和底部電極分別地從磁性穿隧接面堆疊的頂表面或底表面接觸磁性穿隧接面堆疊。介電質材料的兩個保護層位於間隔物的外部。底部電極通孔(bottom electrode via,BEVA)從底部電極下方接觸底部電極。頂部電極通孔(top electrode via,TEVA)從頂部電極上方接觸頂部電極。
在部分實施例中,兩個保護層還橫向地至少部分地包圍底部電極和頂部電極的側壁。
磁性穿隧接面堆疊位於層間介電質(inter-level dielectric,ILD)層ILDX中,其垂直地將金屬化層置於層間介電質層ILDX下方的層間介電質層ILDX-1中。在部分實施例中,層間介電質層ILDX透過蝕刻停止層(例如,碳化矽(SiC))和富矽氧化層(SRO)與層間介電質層ILDX-1分離。在積體電路的邏輯區域中,邏輯裝置的連接特徵位於層間介電質層ILDX-1中。連接特徵可以包括佈線和/或互連特徵(例如,連接島和/或跨接結構)。
在部分實施例中,兩個保護層中外面的那層(即,外部保護層)包括與蝕刻停止層相同的介電質材料(例如, 碳化矽(SiC))。兩個保護層中裡面的那層(即,內部保護層)包括具有比外部保護層高的選擇性的介電質材料,並且此介電質材料與連接特徵的材料(可以包括銅、鎢、鈷中的一種或多種)具有蝕刻選擇性。內部保護層和外部保護層不存在邏輯區域中。
第1圖繪示基板102上方的示例性積體電路100的一部分。積體電路100包括第一部分110(亦可稱之為第一區域),其具有多個磁阻式隨機存取記憶體單元(為了說明而繪示磁阻式隨機存取記憶體單元112、114)。積體電路100還包括第二部分120(亦可稱之為第二區域),其具有來自磁阻式隨機存取記憶體單元的其他電路元件(第1圖中未繪示)(例如,邏輯電路元件)。第1圖繪示積體電路100的後段製程的層和結構。在後段製程中將磁阻式隨機存取記憶體單元112、114形成在一個或多個層間介電質層122上(為了說明而繪示一個層間介電質層122)。在部分實施例中,在一個或多個層間介電質層122之中或之間形成金屬化特徵116、118(例如,金屬互連結構或金屬線結構)。例如,金屬化特徵116在第一部分110中並在磁阻式隨機存取記憶體單元112、114下方,而金屬化特徵118在第二部分120中。出於說明的目的,將金屬化特徵116和金屬化特徵118繪示在同一層間介電質層122中。
磁阻式隨機存取記憶體單元112、114分別地包括磁性穿隧接面結構124、126(亦可稱之為磁性穿隧接 面)。磁性穿隧接面結構124、126分別垂直於底部電極(BE)128、130和頂部電極(TE)132、134。互連結構(例如,連接通孔(BEVA)136、138(亦可稱之為底部電極通孔結構)),將底部電極128、130分別連接到下面相應的金屬化特徵116。在部分實施例中,磁性穿隧接面結構124、126以及相應的底部電極(BE)128、130和頂部電極(TE)132、134形成在層間介電質層123中,此層間介電質層123具有與在磁性穿隧接面結構124、126下方的層間介電質層122相同的介電質材料。層間介電質層122、123是氧化矽或低介電常數介電質材料或其他合適的介電質材料。複合蝕刻停止層125位於層間介電質層122和層間介電質層123之間。在部分實施例中,複合蝕刻停止層125包括兩個介電層127、129的疊層,此兩個介電層彼此之間具有蝕刻選擇性。在部分實施例中,兩個層127、129中的下面一個層129具有比層間介電質層123高的蝕刻選擇性,並且可作為蝕刻停止層。在部分實施例中,複合蝕刻停止層125包括碳化矽(SiC)的蝕刻停止層129和在碳化矽(SiC)層129上方的富矽氧化物(silicon rich oxide,SRO)層127。層127還可以包括四乙基矽氧烷(TEOS)材料。
在部分實施例中,底部電極128、130形成在複合蝕刻停止層125上方,並且底部電極通孔136、138形成在複合蝕刻停止層125中。其他實施例也是可能的。例如,底部電極128、130可以形成在複合蝕刻停止層125 中。
兩個保護層142、144的疊層140包覆磁性穿隧接面結構124、126的側壁143、145。兩個保護層142、144包括彼此具有蝕刻選擇性的材料。在部分實施例中,兩個保護層142、144中的外部保護層142包括與蝕刻停止層129相同的材料或在蝕刻選擇性特性上與蝕刻停止層129相似的材料。例如,在蝕刻停止層129是碳化矽(SiC)的實施例中,外部保護層142是碳化矽(SiC)、碳氧化矽(SiOC)、使用電漿增強原子層沉積(plasma-enhanced atomic layer deposition,PEALD)製程形成的其他介電質材料或相對於蝕刻劑具有與碳化矽(SiC)相似的蝕刻特性的其他合適材料。在部分實施例中,內部保護層144是氧化鋁(AlOx)或具有比外部保護層142高的蝕刻選擇性之其他合適的材料。
在部分實施例中,外部保護層142的厚度在大約50埃至大約500埃之間。內部保護層144的厚度在大約2埃至大約50埃之間。外部保護層142和內部保護層144的不同厚度值用以有助於在外部保護層142和內部保護層144中形成孔以暴露頂部電極132、134。如將在此詳細描述的,將使用濕式蝕刻在內部保護層144形成孔,以暴露出頂部電極132、134。在濕式蝕刻中,通常難以完全蝕刻氮化鋁或氧化鋁。因此,氧化鋁或氮化鋁的內部保護層144的厚度值被配置為相對較小。在部分實施例中,外部保護層142是與層129相同的碳化矽(SiC)或碳氧化 矽(SiOC)。如本文所述,外部保護層142和層129在相同的蝕刻製程中形成孔。在碳化矽(SiC)蝕刻製程中,外部保護層142比層129承受更多的蝕刻劑負荷,因為外部保護層142較靠近蝕刻劑源。因此,外部保護層142的厚度值被配置為相對較大。
在部分實施例中,間隔層146、148分別圍繞磁性穿隧接面結構124、126的側壁143、145。間隔層146、148橫向地位在側壁143、145與疊層140之間。在部分實施例中,間隔層146、148分別位於底部電極128、130上方。間隔層146、148是氮化矽(SiN)或其他合適的介電質材料。
在部分實施例中,疊層140還至少部分地包覆頂部電極132、134的側壁133、135。頂部電極132、134的上部(例如,包括頂部電極132、134的上表面137、139)和(在部分實施例中)側壁133、135的上部從疊層140暴露出。金屬互連結構152、154形成在頂部電極132、134上方並接觸從疊層140暴露之頂部電極132、134的上部。在部分實施例中,除了從疊層140暴露之頂部電極132、134的上部之外,疊層140在第一區域110的整個表面上延伸。疊層並不會在第二區域120上延伸。
在部分實施例中,金屬互連結構156形成在第二區域120中,並與金屬化特徵118接觸。具體地,金屬互連結構156延伸穿過層間介電質層123和複合蝕刻停止層125,並與金屬化特徵118接觸。金屬互連結構152、154、 156以相同的製程形成,並且全部從層間介電質層123的上表面158向下延伸。相對於層間介電質層123的上表面158,金屬互連結構152、154、156彼此共平面。
在一個實施例中,底部電極128、130包括具有適合於相應的磁性穿隧接面結構124、126的操作的磁性的導電氮化物。例如,底部電極128、130的導電氮化物材料不會影響釘扎磁性穿隧接面結構124、126的固定層的磁極化。在一個實施例中,底部電極128、130是氮化鉭(TaN)或氮化鈦(TiN)中的一個或多個。底部電極通孔136包括與底部電極128、130的電性和磁性匹配的材料。在一個實施例中,底部電極通孔136是氮化鈦(TiN)。在部分實施例中,底部電極通孔136還包括鉭(Ta)或氮化鉭(TaN)中的一種或多種的阻擋層或襯墊層(為簡化起見未繪示),其防止氮化鈦(TiN)材料滲透到周圍的碳化矽(SiC)層129和富矽氧化物/四乙基矽氧烷層127中。
第2圖繪示示例性磁阻式隨機存取記憶體單元112。如第2圖所示,磁阻式隨機存取記憶體單元112包括底部電極128。反鐵磁性層204被配置在導電底部電極128上方,並且釘扎磁性層206被配置在反鐵磁性層204上方。反鐵磁性層204包括具有強交換耦合(exchange coupling)的材料,此材料的原子具有規則排列的磁矩,相鄰的自旋指向相反的方向。強交換耦合允許反鐵磁性層204釘扎(即,固定)釘扎磁性層206的磁極化,從而防 止諸如在磁阻式隨機存取記憶體單元112的寫入操作期間釘扎磁性層206的磁極化翻轉。就此而言,釘扎磁性層206也被稱為磁性穿隧接面結構124的固定層。在部分實施例中,可以在反鐵磁性層204和釘扎磁性層206之間設置合成的反鐵磁性(synthetic anti-ferromagnetic,SAF)層(為簡單起見未繪示)。
在磁性穿隧接面結構124中,釘扎磁性層206透過介電質阻擋層208與自由磁性層210垂直地間隔開。自由磁性層210或自由層包括能夠相對於釘扎磁性層206在平行配置和反平行配置之間翻轉的磁極化。上部/頂部電極132設置在自由磁性層210上方。可選地,介電覆蓋或間隔層146(例如,氮化矽(SiN))配置在磁性穿隧接面結構124周圍。間隔層146也可以是碳化矽(SiC)、二氧化矽(SiO2)、氮氧化矽(SiON)、碳氧化矽(SiOC)和/或低碳介電常數介電質材料。
釘扎磁性層206、介電質阻擋層208和自由磁性層210形成磁性穿隧接面結構124。在磁性穿隧接面結構124內,電子可以透過在導電底部電極128和導電頂部電極132之間施加不同的電壓而隧穿介電質阻擋層208。隨著電子隧穿介電質阻擋層208,自由磁性層210的磁極化可以改變,從而改變磁性穿隧接面結構124的電阻值。例如,如果自由磁性層210的極性與釘扎磁性層206的極性對齊,則磁性穿隧接面結構124具有對應於第一數據狀態的第一電阻值(例如,邏輯「0」)。如果自由磁性層210 的極性與釘扎磁性層206的極性不對齊,則磁性穿隧接面結構124具有對應於第二數據狀態的第二電阻值(例如,邏輯「1」)。
在部分實施例中,導電下部或底部電極(BE)128可以包括氮化鈦(TiN)、氮化鉭(TaN)、鈦(Ti)和/或鉭(Ta)。在部分實施例中,底部電極128可以具有在大約10奈米(nm)與大約100奈米之間的範圍內的厚度。在部分實施例中,反鐵磁性層204可以包括銥錳(IrMn)、鐵錳(FeMn)、釕錳(RuMn)、鎳錳(NiMn)和/或鈀鉑錳(PdPtMn)。在部分實施例中,釘扎磁性層206可以包括鈷(Co)、鐵(Fe)、硼(B)和/或釕(Ru)。在部分實施例中,釘扎磁性層206可以具有在大約5奈米與大約10奈米之間的範圍內的厚度。
在部分實施例中,介電質阻擋層208可以包括氧化鎂(MgO)和/或氧化鋁(Al2O3),並且可以具有在大約0.5奈米與大約2奈米之間的範圍內的厚度。在部分實施例中,自由磁性層210可以包括鈷(Co)、鐵(Fe)和硼(B)中的一種或多種,並且可以具有在大約1奈米與大約3奈米之間的範圍內的厚度。
在部分實施例中,導電頂部電極132可以包括氮化鈦(TiN)、氮化鉭(TaN)、鈦(Ti)、鎢(W)和/或鉭(Ta)。
在部分實施例中,導電頂部電極132、自由磁性層210、介電質阻擋層208、釘扎磁性層206、反鐵磁性 層204和導電頂部電極132之(單獨的或整個為一群組的)橫截面實質上是銳角梯形。導電底部電極128、自由磁性層210、介電質阻擋層208、釘扎磁性層206和反鐵磁性層204的寬度沿z軸的向下方向保持增加。在部分實施例中,透過用於形成磁性穿隧接面結構124的側壁143和頂部電極132的側壁133的離子束蝕刻(ion beam etching,IBE)製程來形成此銳角梯形橫截面的形狀。換句話說,自由磁性層210、介電質阻擋層208、釘扎磁性層206、反鐵磁性層204和頂部電極132具有實質上對齊和傾斜的側壁。
第3A圖至第3D圖繪示在形成積體電路100、磁阻式隨機存取記憶體單元112或其他半導體結構的不同製造階段中的晶片300。參照第3A圖,接收晶片300。晶片300包括半導體本體302。半導體本體302可以是晶體結構的矽基板和/或其他諸如鍺的元素半導體。替代地或附加地,半導體本體302可以包括化合物半導體(例如,碳化矽、砷化鎵、砷化銦和/或磷化銦)。此外,半導體本體302還可包括絕緣體上矽(silicon-on-insulator,SOI)結構。半導體本體302可以包括磊晶層和/或可以被應變以提高性能。半導體本體302還可以根據本領域已知的設計要求包括不同的摻雜構造(例如,p型基板和/或n型基板),以及不同的摻雜區域(例如,p型井和/或n型井)。一個或多個選擇電晶體304配置在半導體本體302內或上方。在部分實施例中,一個或多個選擇電晶體304配置在隔離 區域305(例如,淺溝槽隔離區域)之間。
在部分實施例中,一個或多個選擇電晶體304可包括金屬氧化物矽場效應電晶體(metal-oxide-silicon field effect transistor,MOSFET)裝置。電晶體304可以是各種類型的電晶體(例如,平面電晶體、鰭式場效應電晶體、閘極全環電晶體、垂直電晶體或其他類型的電晶體),其均不限制本揭露的範圍。在這樣的實施例中,一個或多個選擇電晶體304分別包括由通道區域307隔開的源極區域306和汲極區域308。源極區域306包括第一摻雜類型(例如,n型摻雜劑),通道區域307包括不同於第一摻雜類型的第二摻雜類型,並且汲極區域308包括第一摻雜類型。在部分實施例中,第一摻雜類型包括n型摻雜,而在其他實施例中,第一摻雜類型包括p型摻雜。將閘極結構310(包括透過閘極氧化物層309與通道區域307分開的閘極電極311)配置為控制在源極區域306和汲極區域308之間的電荷載流子的流動。在不同的實施例中,閘極結構310可以包括摻雜的多晶矽材料或金屬材料(例如,氮化鈦(TiN)、鋁(Al)等)。在部分實施例中,側壁間隔物312(例如,氮化矽(SiN)間隔物)可以設置在閘極電極311的相對側上。
後段製程(back-end-of-the-line,BEOL)金屬化特徵318、320(或金屬化特徵)設置在半導體本體302上,其中一些透過接觸通孔314(如繪示之314a、314b、314c)與電晶體304的端子接觸。金屬化特徵318、 320垂直地堆疊,其中一些最後連接到第一區域110中的金屬化特徵116和第二區域120中的金屬化特徵118。金屬化特徵形成在層間介電質層322和層間介電質層122中,其中金屬化特徵116和118形成在層間介電質層122中。在部分實施例中,層間介電質層322、122透過蝕刻停止層329(例如,碳化矽(SiC)或氮化矽(SiN))彼此分開。
在第3B圖中,在層間介電質層122上形成包括富矽氧化物或四乙基矽氧烷的層127和碳化矽(SiC)層129的複合蝕刻停止層125。由四乙基矽氧烷氧化物層製成的複合蝕刻停止層覆蓋常規的矽基碳化矽(SiC)的蝕刻停止層可以進一步減小複合蝕刻停止層125的整體厚度和介電常數。
在第3C圖中,在第一區域110中的複合蝕刻停止層125中形成底部電極通孔136,其與層間介電質層122中的金屬化特徵116接觸。底部電極通孔136包括與金屬化特徵116不同的材料。在部分實施例中,底部電極通孔136是氮化鈦(TiN),並且金屬化特徵116是銅。層127是適合底部電極通孔136沉積的材料(例如,氮化鈦(TiN))。在部分實施例中,層127是富矽氧化物或四乙基矽氧烷或其他合適的介電質材料中的一種或多種。在部分實施例中,在底部電極通孔136和周圍的複合蝕刻停止層125之間形成阻擋層328或襯墊層。阻擋層328是鉭(Ta)或氮化鉭(TaN)中的一種或多種。阻擋層328 防止氮化鈦(TiN)元素滲透到周圍的複合蝕刻停止層125中。在部分實施例中,底部電極通孔136僅形成在層127中而不形成在碳化矽(SiC)層129中。即,在底部電極通孔136和金屬化特徵之間的層129中形成另一互連結構。
在第3D圖中,在第一區域110之複合蝕刻停止層125的底部電極通孔136上方形成磁阻式隨機存取記憶體單元112。磁阻式隨機存取記憶體單元包括磁性穿隧接面結構124、頂部電極132和底部電極128。在部分實施例中,間隔層146至少圍繞磁阻式隨機存取記憶體單元112的磁性穿隧接面結構124。
第4A圖至第4K圖繪示磁阻式隨機存取記憶體單元112的進一步形成以及在磁阻式隨機存取記憶體單元112上方的金屬化特徵。
在第4A圖中,接收示例性晶片400。在第3A圖至第3D的製程之後,示例性晶片400可以是與晶片300相同的晶片。繪示的示例性晶片400具有用於磁阻式隨機存取記憶體單元的第一區域110和用於其他電路元件(例如,邏輯元件)的第二區域120。
在第4B圖中,兩層402、404的疊層整體地形成在晶片400上方。兩層402、404彼此之間具有高蝕刻選擇性。在部分實施例中,層402是與蝕刻停止層129相同的材料,或具有與蝕刻停止層129相似的蝕刻特性的材料。在蝕刻停止層129是碳化矽(SiC)的情況下,層402 是碳化矽(SiC)、碳氧化矽(SiOC)或使用電漿增強原子層沉積形成的其他介電質材料。層404是氧化鋁(AlOx)或氮化鋁(AlN)或具有類似蝕刻特性的材料。層404的沉積厚度為約50埃至約500埃。層402的沉積厚度為約2埃至約50埃。
在第4C圖中,透過蝕刻(例如,使用遮罩層(為簡單起見未繪示)覆蓋第一區域110)選擇性地從第二區域120去除層402和404。富矽氧化物或四乙基矽氧烷的層127的一部分也可以透過蝕刻去除,使得第二區域120中層127的厚度小於第一區域110中層127的厚度。由於在氧化鋁(AlOx)或氮化鋁(AiN)的層404與碳化矽(SiC)的蝕刻停止層129之間的蝕刻選擇性,在從第二區域120去除層402和404之後仍然保留蝕刻停止層129。
在第4D圖中,在晶片400上方整體地或完全地形成層間介電質層123。具體地說,在第一區域110和第二區域120兩者上形成層間介電質層123。在部分實施例中,層間介電質層123是極低的介電常數(extremely low-k,ELK)材料(例如,碳矽氧化物(SiCOH)、多孔碳矽氧化物(SiCOH)、奈米玻璃(NanoGlass)或介電常數質小於等於3的其他介電質材料)。進行拋光化學機械平坦化製程以平坦化層間介電質層123的表面410。
第5A圖至第5D圖繪示平坦化層間介電質層123 的表面410的示例性製程。在第5A圖中,層間介電質層123整個形成在第一區域110和第二區域120上方。由於磁性穿隧接面結構124,層間介電質層123在磁性穿隧接面結構124上方包括凸塊510或台階高度。在第5B圖中,將低介電常數介電質材料的塗層520塗覆在層間介電質層123上。塗層520覆蓋凸塊510並且具有相對平坦的表面522。在第5C圖中,進行回蝕製程以去除塗層520和凸塊510。在部分實施例中,在回蝕製程之後仍保留凸塊510的殘留部分524。在第5D圖中,進行拋光化學機械平坦化製程以去除殘留部分524並平坦化層間介電質層123的表面410。
在第4E圖中,在層間介電質層123上依次地形成抗反射層412(亦可稱之為抗反射塗層)和硬遮罩層414。在部分實施例中,抗反射層412是無氮抗反射塗層(nitrogen-free anti-reflective coating,NFARC)的層,並且硬遮罩層414是氮化鈦(TiN)/氮化鉭(TaN)層。抗反射層412和硬遮罩層414都作為犧牲層。圖案化硬遮罩層414以在第一區域110中具有孔420並且在第二區域120中具有孔422。孔420、422限定了在第一區域110和第二區域120的層間介電質層123中形成金屬化特徵的位置。在部分實施例中,在第一區域110和第二區域120中的孔420、422可以分別地具有相同的尺寸(例如,相同的表面積)。在部分實施例中,在層間介電質層123與無氮抗反射層412之間形成犧牲介電質硬遮罩層 416。層416用於防止層間介電質層123的表面上的扭結缺陷(kink defect)。例如,犧牲介電質硬遮罩層416由含矽的介電質材料(例如,氮化矽)形成並採用諸如電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)、高密度電漿化學氣相沉積(high density plasma chemical vapor deposition,HDP-CVD)和大氣壓化學氣相沉積(atmospheric pressure chemical vapor deposition,APCVD)的方法形成。
在第4F圖中,實施部分通孔蝕刻製程以形成穿過孔420、422的部分通孔424、426或溝槽。具體地,部分通孔蝕刻穿通無氮抗反射層412以部分地去除位在被指定為形成通向第一區域110中的磁阻式隨機存取記憶體單元112或通向第二區域120中的金屬化特徵118的通孔或溝槽上方之層間介電質層123的材料。在整合的蝕刻製程中一起形成孔420、422。也就是說,當在第一區域110或第二區域120中的另一個上進行部分通孔蝕刻製程時,第一區域110或第二區域120均未被覆蓋。在部分實施例中,部分通孔424、426或溝槽具有實質上相同的尺寸(例如,深度和形狀)。
在第4G圖中,透過孔420、422實施主要蝕刻製程以形成通向磁阻式隨機存取記憶體單元112的通孔430和通向金屬化特徵118的通孔432。蝕刻製程還去除了位於第二區域120中複合蝕刻停止層125的富矽氧化物 或四乙基矽氧烷層127。如此,碳化矽(SiC)、碳氧化矽(SiOC)的層404或使用電漿增強原子層沉積形成的其他介電層和碳化矽(SiC)的層129作為主要蝕刻製程的蝕刻停止層。在部分實施例中,主要蝕刻製程使用乾式電漿蝕刻製程。
由於部分通孔424、426,通孔430、432分別具有交錯的形狀,並且分別包括較寬的部分434、436和較窄的部分438、440。較寬的部分434、436接近層間介電質層123的表面410。較窄的部分438、440低於較寬的部分434、436,並分別地靠近磁阻式隨機存取記憶體單元112或金屬化特徵118。在部分實施例中,較寬的部分434、436具有相同的尺寸(例如,具有相同的表面積、形狀和深度/長度)。與第二區域120中的較窄的部分440的尺寸441相比,第一區域110中的較窄的部分438的尺寸429更淺/更短。如此,與第二區域120中的碳化矽(SiC)層129相比,磁阻式隨機存取記憶體單元112上方的碳化矽(SiC)層404暴露於較多的蝕刻劑。與暴露於通孔432中的碳化矽(SiC)層129相比,從暴露於通孔430中的碳化矽(SiC)層404中去除了更多的碳化矽(SiC)材料。然而,由於氧化鋁(AlOx)層402具有高於碳化矽(SiC)的蝕刻選擇性,氧化鋁(AlOx)層402仍然覆蓋包括頂部電極132、磁性穿隧接面結構124和底部電極128在內的磁阻式隨機存取記憶體單元112。另一方面,由於碳化矽(SiC)層404包圍氧化鋁(AlOx)層 402,並且在氧化鋁(AlOx)層402之前暴露於主要蝕刻製程的蝕刻劑,因此氧化鋁(AlOx)層402不需要保持相對較大的厚度。相反地,氧化鋁(AlOx)層402具有大約2埃至大約50埃相對較低的厚度。
在部分實施例中,隨著金屬線填充在溝槽中,較寬的部分434、436和較窄的部分438、440均具有漸縮的形狀。
在第4H圖中,使用襯墊去除方法(liner remove method,LRM)蝕刻製程,以從通孔432向下地去除碳化矽(SiC)的蝕刻停止層129的選擇部分,並從通孔430向下地去除碳化矽(SiC)層404的選擇部分。在去除碳化矽(SiC)層127、404時也可以使用其他的蝕刻技術。在部分實施例中,蝕刻是高度各向異性的,其中僅有很少的橫向蝕刻。這可以透過較低的壓力(例如,小於40毫托(mTorr))和較高的偏壓功率(例如,大於100瓦(W))來實現。由於氧化鋁(AlOx)層402具有比碳化矽(SiC)高的蝕刻選擇性,因此氧化鋁(AlOx)層402仍然覆蓋了磁阻式隨機存取記憶體單元112,並且暴露在通孔430中。在第二區域120中,去除在通孔432下方的碳化矽(SiC)層129會暴露在通孔432中的金屬化特徵118。
在第4I圖中,將濕式清潔製程一起應用於第一區域110和第二區域120。濕式清潔(例如,使用去離子水),去除暴露在通孔430中的氧化鋁(AlOx)層402,並去除通孔432中的碎屑和殘留物。濕式清潔不會損壞或影響 暴露在通孔432中的金屬化特徵118(例如,銅的金屬化特徵),並且不會損壞或影響頂部電極132(例如,鎢的頂部電極)。由於氧化鋁(AlOx)層402的厚度相對較小(即,約2埃至約50埃),因此透過濕式清潔去除氧化鋁(AlOx)層402的部分相對容易或更快速。如此,氧化鋁(AlOx)層402的去除將不會在製造過程中帶來額外的時間成本,因為執行濕式清潔也可清潔通孔432中的碎屑和殘餘物。在去除了暴露於通孔430之部分的氧化鋁(AlOx)層402之後,剩餘的層402、404成為第1圖的保護層142、144(亦可分別稱之為外層和內層)。
在第4J圖中,將導電材料439(例如,銅)一起沉積到分別地向下延伸到頂部電極132和金屬化特徵118的通孔430、432中。因為磁性穿隧接面結構124和頂部電極132的側壁被剩餘的層402、404覆蓋,所以即使通孔430未對準並且導電材料439沉積在頂部電極132和磁性穿隧接面結構124的側壁上,磁性穿隧接面結構124的側壁將不會被損壞或影響。如此,通孔430可以在表面尺寸上具有更多的餘量和靈活性。假定通孔430、432一起形成,則這種餘量和靈活性也有益於通孔432的製程設計。
在第4K圖中,施加化學機械平坦化以去除層間介電質層123(亦可稱之為層間介電質)的頂表面410上方的層,這些層包括過多的導電材料439、硬遮罩層414、無氮抗反射層412和犧牲介電質硬遮罩層416。通孔430 中的導電材料成為與磁阻式隨機存取記憶體單元112的頂部電極132的互連結構442。通孔432中的導電材料成為與第二區域120中的金屬化特徵118的互連結構444。
如本文所示,利用層402、404包圍磁阻式隨機存取記憶體單元112,在第一區域110中形成到磁阻式隨機存取記憶體單元112的互連結構442並且在第二區域120中形成到金屬化特徵118的互連結構444的製程被整合到相同的製程中。在其他區域中形成互連結構的過程中,第一區域110或第二區域120均未被覆蓋。這樣的整合製程節省了後段製程流程的成本和時間。此外,層402、404保護磁阻式隨機存取記憶體單元112在形成互連結構442的過程中不被損壞。
透過以下實施例的描述,可以進一步理解本揭露。
在部分實施例中,積體電路包括垂直磁性穿隧接面堆疊,此垂直磁性穿隧接面堆疊體具有第一磁性層、第二磁性層以及在第一磁性層和第二磁性層之間的阻擋層;在垂直磁性穿隧接面堆疊下方的第一電極;在垂直磁性穿隧接面堆疊上方的第二電極;橫向地包圍垂直磁性穿隧接面堆疊的第一介電層;以及橫向地包圍第一介電層的第二介電層。在一些實施例中,更包含橫向地在第一介電層和垂直磁性穿隧接面堆疊之間的間隔層。在一些實施例中,間隔層位於第一電極上。在一些實施例中,間隔層至少部分橫向地包圍第二電極。在一些實施例中,第一介電層橫向地包圍第一電極並且至少部分橫向地包圍第二電極。在一 些實施例中,垂直磁性穿隧接面堆疊位於第一層間介電層中並且在第一層間介電層下的蝕刻停止層上,並且其中第二介電層包含介電質材料,介電質材料能夠被與蝕刻蝕刻停止層相同的蝕刻劑蝕刻掉。在一些實施例中,第二介電層包含碳化矽或富含氧化物的碳化矽中的一種或多種。在一些實施例中,更包含第一互連結構,第一互連結構透過第一介電層和第二介電層中的第一孔接觸第二電極並垂直地接觸第二介電層。在一些實施例中,更包含第二互連結構,第二互連結構延伸穿過第一層間介電層和蝕刻停止層並且在垂直磁性穿隧接面堆疊旁邊。在一些實施例中,第一互連結構垂直地接觸第一介電層。在一些實施例中,更包含橫向地在第一介電層和垂直磁性穿隧接面堆疊之間的間隔層。
在部分實施例中,一種方法包括:在晶片的第一區域上方選擇性地形成第一介電層,此第一區域包括在蝕刻停止層上方的垂直磁性穿隧接面結構,此蝕刻停止層延伸穿過橫向地位於第一區域旁邊之晶片的第二區域;在包圍第一介電層的晶片的第一區域上方選擇性地形成第二介電層;在晶片的第一區域和第二區域上並且包圍著第二介電層形成第三介電層;在第一蝕刻操作中,在第三介電層中形成第一孔,以在垂直磁性穿隧結構上方暴露第二介電層的第一部分,並且形成第二孔,以在第二區域中暴露出蝕刻停止層的第二部分。在第二蝕刻操作中,去除從第一孔暴露出的第二介電層的第一部分,並透過第一孔暴露出第 一介電層的第三部分,同時去除從第二孔暴露出的蝕刻停止層的第二部分;透過濕式清潔去除第一介電層的第三部分;在與垂直磁性穿隧接面結構接觸的第一孔中形成第一互連結構,並在延伸穿過蝕刻停止層的第二孔中形成第二互連結構。在一些實施例中,第二介電層包含介電質材料,介電質材料能夠被與蝕刻蝕刻停止層相同的蝕刻劑蝕刻掉。在一些實施例中,第一介電層包含氧化鋁或氮化鋁中的一種或多種。在一些實施例中,第一蝕刻操作包含:部分通孔蝕刻製程,在到達垂直磁性穿隧接面結構之前在第一區域的第三介電層中形成第一部分通孔,並在第二區域中形成第二部分通孔;以及主要通孔蝕刻製程,透過第一部分通孔形成第一通孔並且從第二部分通孔形成第二通孔。在一些實施例中,第一通孔包含第一部分和垂直地耦合到第一部分的第二部分,第一部分比第二部分寬;以及其中,第二通孔包含第三部分和垂直地耦合到第三部分的第四部分,第三部分比第四部分寬。在一些實施例中,第一部分和第三部分在表面積、形狀和長度中的一個或複數個上具有實質上相同的尺寸。
在部分實施例中,積體電路包括:基板;在基板上方的第一層間介電層;在第一層間介電層中的第一金屬化特徵和第二金屬化特徵;在第一層間介電層上方的蝕刻停止層;在蝕刻停止層上方的第二層間介電層;在第二層間介電層中的垂直磁性穿隧接面堆疊,此垂直磁性穿隧接面堆疊具有第一磁性層、第二磁性層和在第一磁性層和第二 磁性層之間的阻擋層;包圍垂直磁性穿隧接面堆疊的側壁的第一保護層;包圍第一保護層的第二保護層;在垂直磁性穿隧接面堆疊下方的第一電極,其延伸穿過蝕刻停止層並接觸第一金屬化特徵;在垂直磁性穿隧接面堆疊上方的第二電極;從第二層間介電層的表面延伸到第二電極的第一互連結構;從第二層間介電層的表面延伸到第二金屬化特徵的第二互連結構。在一些實施例中,蝕刻停止層是碳化矽或碳氧化矽中的一種或多種,並且第二保護層是碳化矽或碳氧化矽中的一種或多種或是能夠在與碳化矽或碳氧化矽中的一種或多種之同一蝕刻製程中被蝕刻的介電質材料。在一些實施例中,第一保護層是氧化鋁或氮化鋁中的一種或多種。
前述概述了幾個實施例或示例的特徵,使得本領域具普通知識者可以更好地理解本揭露的各方面。本領域具普通知識者應當理解,他們可以容易地將本揭露內容作為設計或修改其他過程和結構的基礎,以實現與本文介紹的實施例或示例相同的目的和/或實現相同的益處。本領域具普通知識者還應該理解到,這樣的等同構造不脫離本揭露的精神和範圍,並且在不脫離本揭露的精神和範圍的情況下,它們可以在這裡進行各種改變、替換和變更。
100:積體電路
102:基板
110:第一區域
112:磁阻式隨機存取記憶體單元
114:磁阻式隨機存取記憶體單元
116:金屬化特徵
118:金屬化特徵
120:第二區域
122:層間介電質層
123:層間介電質層
124:磁性穿隧接面結構
125:複合蝕刻停止層
126:磁性穿隧接面結構
127:層
128:底部電極
129:蝕刻停止層
130:底部電極
132:頂部電極
133:側壁
134:頂部電極
135:側壁
136:底部電極通孔
137:上表面
138:底部電極通孔
140:疊層
142:保護層
143:側壁
144:保護層
145:側壁
146:間隔層
148:間隔層
152:金屬互連結構
154:金屬互連結構
156:金屬互連結構
158:上表面
AlOx:氧化鋁

Claims (8)

  1. 一種積體電路,包括:一垂直磁性穿隧接面堆疊,具有一第一磁性層、一第二磁性層和在該第一磁性層和該第二磁性層之間的一阻擋層;一第一電極,在該垂直磁性穿隧接面堆疊下;一第二電極,在該垂直磁性穿隧接面堆疊上;一第一介電層,橫向地包圍該垂直磁性穿隧接面堆疊;以及一第二介電層,橫向地包圍該第一介電層,其中該第二介電層包含碳化矽或富含氧化物的碳化矽中的一種或多種。
  2. 根據請求項1所述的積體電路,更包含橫向地在該第一介電層和該垂直磁性穿隧接面堆疊之間的一間隔層。
  3. 根據請求項1所述的積體電路,其中該垂直磁性穿隧接面堆疊位於一第一層間介電層中並且在該第一層間介電層下的一蝕刻停止層上,並且其中該第二介電層包含一介電質材料,該介電質材料能夠被與蝕刻該蝕刻停止層相同的一蝕刻劑蝕刻掉。
  4. 一種形成積體電路的方法,包含: 形成一第一介電層在一晶片的一第一區域上,該第一區域包含在一蝕刻停止層上的一垂直磁性穿隧接面結構,該蝕刻停止層延伸穿過橫向地位於該第一區域旁邊之該晶片的一第二區域;形成一第二介電層在該晶片的該第一區域上並包圍該第一介電層;形成一第三介電層在該晶片的該第一區域和該第二區域上並包圍該第二介電層;在一第一蝕刻操作中,在該第三介電層中形成一第一通孔以暴露在該垂直磁性穿隧結構上之該第二介電層的一第一部分,並且形成一第二通孔以暴露在該第二區域之該蝕刻停止層的一第二部分;在一第二蝕刻操作中,去除從該第一通孔暴露的該第二介電層的該第一部分,並透過該第一通孔暴露該第一介電層的一第三部分,並且去除從該第二通孔暴露的該蝕刻停止層的該第二部分;透過濕式清潔去除該第一介電層的該第三部分;以及形成一第一互連結構在與該垂直磁性穿隧接面結構接觸的該第一通孔中,並且形成一第二互連結構在延伸穿過該蝕刻停止層的該第二通孔中。
  5. 根據請求項4所述的形成積體電路的方法,其中該第二介電層包含一介電質材料,該介電質材料能夠被與蝕刻該蝕刻停止層相同的一蝕刻劑蝕刻掉。
  6. 根據請求項4所述的形成積體電路的方法,其中該第一介電層包含氧化鋁或氮化鋁。
  7. 根據請求項4所述的形成積體電路的方法,其中該第一蝕刻操作包含:一部分通孔蝕刻製程,在到達該垂直磁性穿隧接面結構之前在該第一區域的該第三介電層中形成一第一部分通孔,並在該第二區域中形成一第二部分通孔;以及一主要通孔蝕刻製程,透過該第一部分通孔形成該第一通孔並且從該第二部分通孔形成該第二通孔。
  8. 一種積體電路,包含:一基板;一第一層間介電層,在該基板上;一第一金屬化特徵和一第二金屬化特徵,在該第一層間介電層中;一蝕刻停止層,在該第一層間介電層上;一第二層間介電層,在該蝕刻停止層上;一垂直磁性穿隧接面堆疊,在該第二層間介電層中,該垂直磁性穿隧接面堆疊具有一第一磁性層、一第二磁性層和垂直地在該第一磁性層與該第二磁性層之間的一阻擋層;一第一保護層,包圍該垂直磁性穿隧接面堆疊的一側 壁;一第二保護層,包圍該第一保護層,其中該蝕刻停止層是碳化矽或碳氧化矽中的一種或多種,並且該第二保護層是碳化矽或碳氧化矽中的一種或多種或是能夠在與碳化矽或碳氧化矽中的一種或多種之同一蝕刻製程中被蝕刻的一介電質材料;一第一電極,在該垂直磁性穿隧接面堆疊下,延伸穿過該蝕刻停止層並接觸該第一金屬化特徵;一第二電極,在該垂直磁性穿隧接面堆疊上;一第一互連結構,從該第二層間介電層的一表面延伸到該第二電極;以及一第二互連結構,從該第二層間介電層的該表面延伸到該第二金屬化特徵。
TW110106735A 2020-02-27 2021-02-25 積體電路與其形成方法 TWI760119B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062982551P 2020-02-27 2020-02-27
US62/982,551 2020-02-27
US17/119,958 2020-12-11
US17/119,958 US20210273156A1 (en) 2020-02-27 2020-12-11 Magnetic tunnel junction structures with protection outer layers

Publications (2)

Publication Number Publication Date
TW202133329A TW202133329A (zh) 2021-09-01
TWI760119B true TWI760119B (zh) 2022-04-01

Family

ID=77464020

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110106735A TWI760119B (zh) 2020-02-27 2021-02-25 積體電路與其形成方法

Country Status (5)

Country Link
US (2) US20210273156A1 (zh)
KR (2) KR20210110189A (zh)
CN (1) CN113314562A (zh)
DE (1) DE102020134663A1 (zh)
TW (1) TWI760119B (zh)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170194557A1 (en) * 2015-12-31 2017-07-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of forming the same
US20190088863A1 (en) * 2017-09-16 2019-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and method for manufacturing the same
US20190157099A1 (en) * 2017-09-28 2019-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method to expose memory cells with different sizes

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9847473B2 (en) * 2015-04-16 2017-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. MRAM structure for process damage minimization
US9818935B2 (en) * 2015-06-25 2017-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Techniques for MRAM MTJ top electrode connection
US9666790B2 (en) * 2015-07-17 2017-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Manufacturing techniques and corresponding devices for magnetic tunnel junction devices
US10490248B2 (en) * 2017-11-30 2019-11-26 Taiwan Semiconductor Manufacturing Company Ltd. Magnetic random access memory structure and manufacturing method of the same
US10522740B2 (en) * 2018-05-29 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Techniques for MRAM MTJ top electrode to metal layer interface including spacer
US10529913B1 (en) * 2018-06-28 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Techniques for MRAM MTJ top electrode connection

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170194557A1 (en) * 2015-12-31 2017-07-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of forming the same
US20190088863A1 (en) * 2017-09-16 2019-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and method for manufacturing the same
US20190157099A1 (en) * 2017-09-28 2019-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method to expose memory cells with different sizes

Also Published As

Publication number Publication date
KR20230135551A (ko) 2023-09-25
US20230389446A1 (en) 2023-11-30
TW202133329A (zh) 2021-09-01
DE102020134663A1 (de) 2021-09-02
US20210273156A1 (en) 2021-09-02
CN113314562A (zh) 2021-08-27
KR20210110189A (ko) 2021-09-07

Similar Documents

Publication Publication Date Title
CN110544705B (zh) 磁阻式随机存取存储器(mram)及其制造方法
CN110875352B (zh) 集成电路、mram单元和用于制造存储器件的方法
KR101849452B1 (ko) Mtj 상단 전극 연결을 위한 방법
US9847473B2 (en) MRAM structure for process damage minimization
CN112542542B (zh) 集成芯片及其形成方法
US11355701B2 (en) Integrated circuit
US11839161B2 (en) Semiconductor structure
US11856868B2 (en) Magnetic tunnel junction structures and related methods
US20220093684A1 (en) Techniques for mram mtj top electrode to via interface
US20230065850A1 (en) Integrated circuit device and method for fabricating the same
TWI760119B (zh) 積體電路與其形成方法