CN104681498B - 存储器件及其制造方法 - Google Patents

存储器件及其制造方法 Download PDF

Info

Publication number
CN104681498B
CN104681498B CN201410050188.0A CN201410050188A CN104681498B CN 104681498 B CN104681498 B CN 104681498B CN 201410050188 A CN201410050188 A CN 201410050188A CN 104681498 B CN104681498 B CN 104681498B
Authority
CN
China
Prior art keywords
layer
grid structure
control gate
memory
storage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201410050188.0A
Other languages
English (en)
Other versions
CN104681498A (zh
Inventor
吴常明
吴伟成
刘世昌
庄学理
蔡嘉雄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN104681498A publication Critical patent/CN104681498A/zh
Application granted granted Critical
Publication of CN104681498B publication Critical patent/CN104681498B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • H01L29/42344Gate electrodes for transistors with charge trapping gate insulator with at least one additional gate, e.g. program gate, erase gate or select gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)

Abstract

本发明提供了存储器件及其制造方法。一种器件包括:位于衬底上方的控制栅极结构,位于衬底上方的存储器栅极结构,其中,在控制栅极结构和存储器栅极结构之间形成电荷存储层;沿着存储器栅极结构的侧壁的第一间隔件;沿着控制栅极结构的侧壁的第二间隔件;位于存储器栅极结构的顶面上方的氧化物层;位于氧化物层上方的顶部间隔件;形成在衬底中并且邻近存储器栅极结构的第一漏极/源极区域;以及形成在衬底中并且邻近控制栅极结构的第二漏极/源极区域。

Description

存储器件及其制造方法
技术领域
本发明一般地涉及半导体技术领域,更具体地,涉及存储器件及其制造方法。
背景技术
诸如笔记本计算机的现代电子器件包括用于存储信息的多种存储器。存储器电路包括两种主要类型。一种是易失性存储器;另一种是非易失性存储器。易失性存储器包括随机存取存储器(RAM),其可以进一步划分为两个子种类,静态随机存取存储器(SRAM)和动态随机存取存储器(DRAM)。SRAM和DRAM这两种都是易失性的,这是因为当不对它们供电时,它们将丢失存储的信息。另一方面,非易失性存储器可以保持在其上存储的数据。非易失性存储器包括多个子种类,诸如,只读存储器(ROM)、电可擦除可编程只读存储器(EEPROM)和闪速存储器。
一种类型的EEPROM存储器件称为闪速存储器件。闪速存储器近年来变得日益流行。典型的闪速存储器包括具有布置为行和列的多个存储单元的存储器阵列。将每个存储单元均制造为具有漏极区域、源极区域、控制栅极和浮置栅极的场效应晶体管。
浮置栅极设置在衬底之上。浮置栅极位于源极区域和漏极区域之间,但是通过氧化物层与它们间隔开。浮置栅极可以由合适材料形成,诸如,多晶硅(“poly”)和/或一些其他导电材料。氧化物层可以由二氧化硅(SiO2)等形成。控制栅极可以设置在浮置栅极上方。控制栅极和浮置栅极可以通过薄氧化物层间隔开。
在操作中,浮置栅极能够保持电荷,并且浮置栅极通过氧化物层与包含在衬底中的源极区域和漏极区域间隔开。可以从衬底穿过氧化物层注入电子以对每个存储单元进行充电。在擦除操作期间,可以通过电子隧穿,使电荷从浮置栅极迁移至源极区域或擦除栅极来去除电荷。从而,通过浮置栅极中存在或不存在电荷来确定闪速存储器单元中的数据。
发明内容
为了解决现有技术中所存在的缺陷,根据本发明的一方面,提供了一种方法,包括:在衬底上方形成控制栅极结构;在所述控制栅极结构上方沉积电荷存储层;在所述电荷存储层上方沉积存储器栅极层;将第一蚀刻工艺应用于所述存储器栅极层,以形成第一存储器栅极结构和第二存储器栅极结构,其中,沿着所述控制栅极结构的相对侧壁形成所述第一存储器栅极结构和所述第二存储器栅极结构;去除所述第二存储器栅极结构;将第二蚀刻工艺应用于所述电荷存储层,以形成L形电荷存储层,其中,所述L形电荷存储层位于所述第一存储器栅极结构和所述控制栅极结构之间;在所述衬底上方形成第一间隔件层;将第三蚀刻工艺应用于所述第一间隔件层,以沿着所述第一存储器栅极结构的侧壁形成第一薄间隔件且沿着所述控制栅极结构的侧壁形成第二薄间隔件;在所述存储器栅极结构上方形成顶部间隔件;以及形成邻近所述存储器栅极结构的第一漏极/源极区域和邻近所述控制栅极结构的第二漏极/源极区域。
该方法进一步包括:在所述第一漏极/源极区域上方形成第一自对准多晶硅化物区域;以及在所述第二漏极/源极区域上方形成第二自对准多晶硅化物区域。
该方法进一步包括:在所述衬底上方沉积栅极介电层;在所述栅极介电层上方沉积控制栅电极层;在所述控制栅电极层上方沉积第一硬掩模层;在所述第一硬掩模层上方沉积第二硬掩模层;以及通过图案化工艺来形成所述控制栅极结构。
该方法进一步包括:在所述衬底和所述控制栅极结构上方形成第一氧化物层;在所述第一氧化物层上方形成所述电荷存储层,其中,所述电荷存储层是氮化硅层;以及在所述电荷存储层上方形成第二氧化物层。
该方法进一步包括:在将所述第三蚀刻工艺应用于所述第一间隔件层以沿着所述第一存储器栅极结构的侧壁形成所述第一薄间隔件和沿着所述控制栅极结构的侧壁形成所述第二薄间隔件的步骤之后,将第四蚀刻工艺应用于所述存储器栅极层。
在该方法中,所述第四蚀刻工艺是各向同性干蚀刻工艺。
该方法进一步包括:在所述存储器栅极结构和所述控制栅极结构上方形成蚀刻停止层;在所述接触蚀刻停止层上方沉积层间介电层,其中,所述存储器栅极结构和所述控制栅极结构嵌入所述层间介电层中;对所述层间介电层实施化学机械抛光工艺,直到暴露所述控制栅极的顶面;以及形成连接至所述第一漏极/源极区域和所述第二漏极/源极区域的接触塞。
根据本发明的另一方面,提供了一种方法,包括:在衬底上方形成控制栅极结构;在所述控制栅极结构上方形成氧化物-氮化物-氧化物层;在所述氧化物-氮化物-氧化物层上方沉积存储器栅极层;通过第一蚀刻工艺形成第一存储器栅极结构和第二存储器栅极结构,其中,沿着所述控制栅极结构的相对侧壁形成所述第一存储器栅极结构和所述第二存储器栅极结构;通过第二蚀刻工艺去除所述第二存储器栅极结构;将第三蚀刻工艺应用于所述氧化物-氮化物-氧化物层的顶部氧化物层和氮化硅层;沿着所述第一存储器栅极结构的侧壁形成第一薄间隔件且沿着所述控制栅极结构的侧壁形成第二薄间隔件;将第四蚀刻工艺应用于所述存储器栅极层;在所述存储器栅极结构上方形成顶部间隔件;以及形成邻近所述存储器栅极结构的第一漏极/源极区域和邻近所述控制栅极结构的第二漏极/源极区域。
该方法进一步包括:在所述衬底和所述控制栅极结构上方形成底部氧化物层;在所述底部氧化物层上方形成所述氮化硅层;以及在所述氮化硅层上方形成所述顶部氧化物层。
在该方法中,所述底部氧化物层的厚度为约50埃;所述氮化硅层的厚度为约100埃;以及所述顶部氧化物层的厚度为约100埃。
该方法进一步包括:形成邻近所述存储器栅极结构的开口;将多种导电材料填充到所述开口中以形成导电区域,其中,所述导电区域电连接至所述存储器栅极结构;以及在所述导电区域上方形成接触塞,其中,所述接触塞电连接至所述导电区域。
在该方法中,所述第二蚀刻工艺是各向同性干蚀刻工艺。
在该方法中,所述第四蚀刻工艺是各向同性干蚀刻工艺。
在该方法中,所述氮化硅层是电荷存储层。
根据本发明的又一方面,提供了一种装置,包括:控制栅极结构,位于衬底上方;存储器栅极结构,位于所述衬底上方,其中,在所述控制栅极结构和所述存储器栅极结构之间形成电荷存储层;第一间隔件,沿着所述存储器栅极结构的侧壁;第二间隔件,沿着所述控制栅极结构的侧壁;氧化物层,位于所述存储器栅极结构的顶面上方;顶部间隔件,位于所述氧化物层上方;第一漏极/源极区域,形成在所述衬底中,并且邻近所述存储器栅极结构;以及第二漏极/源极区域,形成在所述衬底中,并且邻近所述控制栅极结构。
在该装置中,所述电荷存储层是L形层。
在该装置中,所述L形层的水平侧面形成在所述存储器栅极结构和所述衬底之间;以及所述L形层的垂直侧面形成在所述存储器栅极结构和所述控制栅极结构之间。
在该装置中,所述电荷存储层是氮化硅层。
在该装置中,所述顶部间隔件由氮化硅形成。
在该装置中,所述第一间隔件由氮化硅形成;以及所述第二间隔件由氮化硅形成。
附图说明
为了更全面地理解本发明及其优点,现在结合附图所进行的一些描述作为参考,其中:
图1根据本发明的多个实施例示出了存储器结构的截面图;
图2根据本发明的多个实施例示出了具有在衬底上方所形成的控制栅极的半导体器件的截面图;
图3根据本发明的多个实施例示出了在图2所示的栅极结构上方形成氧化物-氮化物-氧化物(O-N-O)结构之后,图2所示的半导体器件的截面图;
图4根据本发明的多个实施例示出了在衬底上方沉积存储器栅电极层之后,图3所示的半导体器件的截面图;
图5根据本发明的多个实施例示出了在将蚀刻工艺应用于半导体器件之后,图4所示的半导体器件的截面图;
图6根据本发明的多个实施例示出了在将图案化工艺应用于光刻胶层之后,图5所示的半导体器件的截面图;
图7A根据本发明的多个实施例示出了在将蚀刻工艺应用于半导体器件之后,图6所示的半导体器件的截面图;
图7B根据本发明的多个实施例示出了各向同性干蚀刻工艺的腔室的简化图;
图8根据本发明的多个实施例示出了在将光刻胶去除工艺应用于剩余的光刻胶层之后,图7A所示的半导体器件的截面图;
图9根据本发明的多个实施例示出了在将蚀刻工艺应用于第二氧化物层和氮化硅层之后,图8所示的半导体器件的截面图;
图10根据本发明的多个实施例示出了在半导体器件上方形成间隔件层之后,图9所示的半导体器件的截面图;
图11根据本发明的多个实施例示出了在形成多个间隔件之后,图10所示的半导体器件的截面图;
图12根据本发明的多个实施例示出了在将蚀刻工艺应用于半导体器件之后,图11所示的半导体器件的截面图;
图13根据本发明的多个实施例示出了在将间隔件沉积应用于半导体器件之后,图12所示的半导体器件的截面图;
图14根据本发明的多个实施例示出了在将蚀刻工艺应用于图13所示的间隔件层之后,图13所示的半导体器件的截面图;
图15根据本发明的多个实施例示出了在半导体器件上形成漏极/源极区域、硅化物区域和接触蚀刻停止层(CESL)之后,图14所示的半导体器件的截面图;
图16根据本发明的多个实施例示出了在CESL层上方形成层间介电(ILD)层并且将化学机械抛光(CMP)工艺应用于半导体器件的顶面之后,图15所示的半导体器件的截面图;
图17根据本发明的多个实施例示出了在半导体器件中形成多种接触件之后,图16所示的半导体器件的截面图;
图18根据本发明的多个实施例示出了存储器结构的俯视图;以及
图19根据本发明的多个实施例示出了存储器结构的俯视图和截面图。
除非另外指出,否则不同附图中的相应编号和符号通常表示相应的部件。绘制的附图清楚地示出了多个实施例的相关方面,并且不必按比例绘制。
具体实施方式
下面,详细讨论本发明的实施例的制造和使用。然而,应该理解,本发明提供了许多可以在各种具体环境中实现的可应用的发明概念。所讨论的具体实施例仅仅示出了制造和使用本发明的具体方式,而不用于限制本发明的范围。
本发明将在特定环境中结合实施例进行描述,即,闪速存储器件。然而,本发明的实施例还可以应用于多种存储器件。在下文中,将参考附图详细地解释多个实施例。
图1根据本发明的多个实施例示出了存储器结构的截面图。在一些实施例中,存储器结构100可以是具有第一漏极/源极区域104和第二漏极/源极区域106的闪速存储器单元。
存储器结构100包括栅极结构,该栅极结构包括控制栅极114和存储器栅极112。控制栅极114和存储器栅极112均形成在衬底102上方。存储器结构100进一步包括电荷存储层116。如图1所示,电荷存储层116是L形层。L形层的水平侧面形成在衬底102和存储器栅极112之间。L形层的垂直侧面形成在存储器栅极112和控制栅极114之间。应该注意,电荷存储层116被介电材料包围。因此,电荷存储层116分别与存储器栅极112、控制栅极114和衬底102相隔离。
如图1所示,通过诸如氮化硅层117等的介电层来保护存储器栅极112的顶面。这样的介电层帮助防止自对准多晶硅化物层形成在存储器栅极112的顶部上。另外,如图1所示,可以具有形成存储器栅极112的顶面和氮化硅层117之间的介电层120。在一些实施例中,介电层120是氧化物层。
图1还示出了沿着存储器栅极112的侧壁所形成的第一薄间隔件层118。这样的间隔件层118帮助保护存储器栅极112的侧壁,并且以自对准的方式形成漏极/源极区域。同样地,可以存在沿着控制栅极114的侧壁所形成的第二薄间隔件层119。下文中将结合图10和图11详细地描述第一薄间隔件层118和第二薄间隔件层119的详细形成工艺。
存储器结构100可以包括多种半导体区域。为了清楚地说明多个实施例的发明方面的目的,在本文中仅详细地描述了几个区域。下文中将结合图2至图17描述存储器结构100的其余半导体区域。
图2至图17根据本发明的多个实施例示出了制造图1所示的存储器结构的中间步骤。图2根据本发明的多个实施例示出了具有形成在衬底上方的控制栅极的半导体器件的截面图。如图2所示,可以在衬底102上方形成多个栅极结构201和203。应该注意,虽然图2仅示出两个栅极结构,但是半导体器件200可以容纳任意数量的栅极结构。
衬底102可以由硅形成,但是其还可以由其他III族、IV族、和/或V族元素形成,诸如,硅、锗、镓、砷、以及它们的组合。衬底102还可以为块状衬底或绝缘体上硅(SOI)衬底的形式。
在形成图2所示的栅极结构201和203的过程中,在衬底102上方沉积栅极介电层202,并且在栅极介电层202上方形成诸如多晶硅层204的栅电极层。在多晶硅层204上方形成包括氧化物层206和氮化物层208的硬掩模结构。为了形成图2所示的栅极结构201和203,可以在硬掩模结构上方形成光刻胶层(未示出),并且将图案化工艺应用于光刻胶层。在蚀刻工艺之后,如图2所示,形成栅极结构201和203。
栅极介电层202可以为介电材料,诸如,氧化硅、氮氧化硅、氮化硅、氧化物、含氮氧化物、它们的组合等。栅极介电层202可以具有大于约4的相对介电常数值。这样的材料的其他实例包括氧化铝、氧化镧、氧化铪、氧化锆、氮氧化铪、或它们的组合。
在一些实施例中,栅电极层204可以由多晶硅形成。可以通过低压化学汽相沉积(LPCVD)沉积掺杂或未掺杂的多晶硅来形成栅电极层204,栅电极层204的厚度介于约至约的范围内,诸如约
在可选实施例中,栅电极层204可以包括导电材料,诸如金属(例如,钽、钛、钼、钨、铂、铝、铪、钌)、金属硅化物(例如,硅化钛、硅化钴、硅化镍、硅化钽)、金属氮化物(例如,氮化钛、氮化钽)、掺杂的多晶硅、其他导电材料、它们的组合等。
图3根据本发明的多个实施例示出了在图2所示的栅极结构上方形成氧化物-氮化物-氧化物(O-N-O)结构之后,图2所示的半导体器件的截面图。O-N-O结构包括第一氧化物层302、氮化硅层304和第二氧化物层306。如图3所示,在衬底102的顶面、栅极结构的侧壁和栅极结构的顶面上方沉积第一氧化物层302。在一些实施例中,第一氧化物层302的厚度为约
在第一氧化物层302上方形成氮化硅层304。在一些实施例中,氮化硅层304的厚度为约可以通过使用诸如等离子体增强的化学汽相沉积(PECVD)等的合适的沉积技术来形成氮化硅层304。
如图3所示,通过合适的半导体沉积技术在氮化硅层304的顶面上方沉积第二氧化物层306。在一些实施例中,第二氧化物层306的厚度为约
图4根据本发明的多个实施例示出了在衬底上方沉积存储器栅电极层之后,图3所示的半导体器件的截面图。存储器栅电极层402可以由诸如多晶硅的合适的材料形成。使用诸如化学汽相沉积(CVD)等的合适沉积技术,在半导体器件200上方沉积存储器栅电极层402。在半导体器件200上方沉积存储器栅电极层402之后,可以将控制栅极结构201和203嵌入存储器栅电极层402中。
图5根据本发明的多个实施例示出了在将蚀刻工艺应用于半导体器件之后,图4所示的半导体器件的截面图。将蚀刻工艺应用于半导体器件200。通过控制蚀刻工艺的强度和方向,去除了存储器栅电极层402的部分。蚀刻工艺在第二氧化物层306的顶面上停止。
如图5所示,在蚀刻工艺完成之后,可以产生四个存储器栅极结构,即,第一存储器栅极结构502、第二存储器栅极结构504、第三存储器栅极结构506和第四存储器栅极结构508。如图5所示,沿着第一控制栅极结构201的相对侧壁形成第一存储器栅极结构502和第二存储器栅极结构504。同样地,沿着第二控制栅极结构203的相对侧壁形成第三存储器栅极结构506和第四存储器栅极结构508。
图6根据本发明的多个实施例示出了在将图案化工艺应用于光刻胶层之后,图5所示的半导体器件的截面图。通过使用光刻技术以沉积和图案化光刻胶层602,从而形成半导体器件200的漏极/源极区域的开口。根据漏极/源极区域的位置和形状,暴露光刻胶层602的一部分。去除光刻胶层602的一部分包括公知的光刻操作,并且因此在本文中没有进一步对其进行详细讨论。
图7A根据本发明的多个实施例示出了在将蚀刻工艺应用于半导体器件之后,图6所示的半导体器件的截面图。诸如各向同性干蚀刻工艺(即,CDE)的合适蚀刻工艺可以应用于半导体器件200的暴露的漏极/源极区域。通过控制蚀刻工艺的强度和方向,去除第二存储器栅极结构504和第三存储器栅极结构506(未示出,但在图5中分别地被示出)。蚀刻工艺在第二氧化物层306的顶面上停止。
图7B根据本发明的多个实施例示出了各向同性干蚀刻工艺的腔室的简化图。半导体器件200可以置于腔室702内的静电吸盘(electrostatic chuck,ESC)上。为了防止蚀刻工艺的等离子体源损坏半导体器件200,将等离子体源放置在如图7B所示的腔室702外部。通过管子704,将干蚀刻工艺的反应气体输送到腔室702中。
在一些实施例中,在远离腔室702的位置处生成干蚀刻工艺的活性物质,并且通过管子704将其传送到腔室702中。将蚀刻工艺实现为下降流(down-flow)工艺。这样的下降流蚀刻工艺帮助改进半导体器件200的表面均匀性。图7B所示的ESC能够调节半导体器件200的温度,使得半导体器件200在蚀刻工艺期间具有稳定温度。而且,采用自动压力控制器(APC)以使腔室702中保持稳定压力等级。
干蚀刻工艺的反应气体包括第一气体和第二气体的混合物。第一气体可以是任何CxHyFz类型的蚀刻气体,诸如,CF4、CH2F2、CHF3和/或它们的组合等。第二气体可以是氧气。在一些实施例中,第一气体与第二气体的比率介于约0.5至约1.5的范围内。蚀刻工艺压力介于约200mT到约800mT的范围内。蚀刻工艺功率介于约200W到约800W的范围内。
反应气体的流率介于约300标准立方厘米每分钟(SCCM)至约800SCCM的范围内。硅/氧化物的蚀刻选择性保持在介于约5至约10的范围内。同样地,氮化物/氧化物的蚀刻选择性保持在介于约5至约10的范围内。
图8根据本发明的多个实施例示出了将光刻胶去除工艺应用于剩余的光刻胶层之后,图7A所示的半导体器件的截面图。可以通过使用诸如化学溶剂清洗、等离子体灰化和/或干式剥离等的合适的光刻胶剥离技术来去除图7A所示的剩余的光刻胶层。光刻胶剥离技术是公知的,并且因此为避免重复,在本文中没有进一步对其进行详细论述。
图9根据本发明的多个实施例示出了在将蚀刻工艺应用于第二氧化物层和氮化硅层之后,图8所示的半导体器件的截面图。将诸如湿蚀刻工艺的蚀刻工艺应用于第二氧化物层306和氮化硅层304(未示出,但是在图8中示出)。如图9所示,最终去除了第二氧化物层306和氮化硅层304的大部分。第二氧化物层的剩余部分包括位于存储器栅极(例如,存储器栅极112)和它们各自的控制栅极(例如,控制栅极114)之间的两个L形结构。
同样地,氮化硅层的剩余部分包括两个L形结构。诸如层116的L形氮化硅层可以用作半导体器件200的电荷存储层。
图10根据本发明的多个实施例示出了在半导体器件上方形成间隔件层之后,图9所示的半导体器件的截面图。可以通过在半导体器件200上方覆盖地沉积(blanketdepositing)一个或多个间隔件层,从而形成间隔件层1002。间隔件层1002可以包括诸如SiN、氮氧化物、SiC、SiON、氧化物等的合适材料,并且可以通过常用的方法(诸如CVD、PECVD、溅射以及本领域中已知的其他方法)来形成间隔件层1002。
图11根据本发明的多个实施例示出了在形成多个间隔件之后,图10所示的半导体器件的截面图。可以通过诸如各向同性蚀刻或各向异性蚀刻来图案化间隔件层1002,从而从结构的水平表面去除间隔件层,并且形成如图11所示的间隔件1102、1104、1106和1108。如图11所示,沿着存储器栅极112的侧壁形成第一薄间隔件层1102。如图11所示,沿着控制栅极114的侧壁形成第二薄间隔件层1104。类似地,沿着另一控制栅极的侧壁形成第三薄间隔件层1106,并且沿着另一存储器栅极的侧壁形成第四薄间隔件层1108。
图12根据本发明的多个实施例示出了在将蚀刻工艺应用于半导体器件之后,图11所示的半导体器件的截面图。将诸如各向同性干蚀刻工艺的合适蚀刻工艺应用于存储器栅极112的暴露部分。因此,去除了存储器栅极112的上部。上文中已经结合图7A和图7B描述了各向异性干蚀刻工艺,并且因此为了避免不必要的重复,没有对其进行再次讨论。
图13根据本发明的多个实施例示出了在将间隔件沉积应用于半导体器件之后,图12所示的半导体器件的截面图。可以通过在半导体器件200上方覆盖地一个或多个间隔件层来形成间隔件层1302。间隔件层1302可以包括SiN等,并且可以通过常用的方法(诸如CVD、PECVD、溅射、以及本领域公知的其他方法)来形成间隔件层1302。
图14根据本发明的多个实施例示出了在将蚀刻工艺应用于图13所示的间隔件层之后,图13所示的半导体器件的截面图。可以通过诸如各向同性蚀刻或各向异性蚀刻来图案化间隔件层1302,从而去除漏极/源极区域上方的间隔件层以及控制栅极上方的间隔件层。应该注意,如图14所示,通过间隔件层1302的剩余部分来覆盖存储器栅极112的顶面。这样的间隔件层帮助防止自对准多晶硅化物层形成在存储器栅极112上方。
图15根据本发明的多个实施例示出了在半导体器件上形成漏极/源极区域、硅化物区域和接触蚀刻停止层(CESL)之后,图14所示的半导体器件的截面图。可以通过离子注入工艺来形成漏极/源极区域104和106。如本领域普通技术人员公知的,注入步骤中的使用掺杂剂原子可以形成具有特定导电类型的漏极/源极区域104和106。根据不同应用,漏极/源极区域104和106可以是n型或者p型。
在一些实施例中,漏极/源极区域104和106可以是p型区域。将诸如硼、镓和/或铟等的合适的p型掺杂剂注入到衬底102中,以形成漏极/源极区域104和106。可选地,漏极/源极区域104和106可以是n型区域。将诸如磷和/或砷等的合适的n型掺杂剂注入到衬底102中,以形成漏极/源极区域104和106。
通过自对准多晶硅化物工艺来形成硅化物区域1502、1504和1506。在自对准多晶硅化物工艺中,在具有暴露的漏极/源极区域的半导体晶圆上方覆盖地金属的薄层。然后,晶圆经受一个或多个退火步骤。这种退火工艺引起金属与源极/漏极区域的暴露硅选择性地发生反应,从而在漏极/源极区域上方形成金属硅化物区域1502、1504和1506。由于硅化物层仅形成在金属材料与硅漏极/源极区域和栅电极相接触的位置处,所以将上述工艺称为自对准硅化工艺。
在一些实施例中,硅化物区域1502、1504和1506包括与硅反应的金属,诸如钛、铂、钴等。然而,还可以使用其他金属,诸如锰、钯等。
CESL1508可以包括常用的介电材料,诸如氮化硅、氮氧化硅、碳氧化硅、碳化硅、它们的组合、以及它们的多层。通过诸如溅射、CVD等的合适沉积技术将CESL1508沉积于半导体器件上方。
图16根据本发明的多个实施例示出了在CESL层上方可以形成层间介电(ILD)层1602并且将化学机械抛光(CMP)工艺应用于半导体器件的顶面之后的图15所示的半导体器件的截面图。在CESL1508上方可以形成层间介电(ILD)层1602。可以通过化学汽相沉积、溅射、或本领域中已知和使用的形成ILD的任何其他方法(例如,使用四乙基原硅酸盐(TEOS)和氧作为前体)来形成ILD层1602。ILD层1602的厚度可以是约至约但是也可以使用其他厚度。ILD层1602可以包括掺杂或未掺杂的氧化硅,但是可以可选地利用其他材料,诸如氮化硅掺杂的硅酸盐玻璃、高k材料、它们的组合等。
可以实施诸如CMP、回蚀刻步骤等的平坦化工艺,以平坦化ILD层1602的顶面。如图16所示,最终去除了ILD层1602的一部分。
图17根据本发明的多个实施例示出了在半导体器件中形成多个接触件之后,图16所示的半导体器件的截面图。可以在ILD层1602上方形成介电层1702。可以通过蚀刻介电层1702以及ILD层1602来形成多个开口(未示出)。在CESL层1302的帮助下,更准确地控制介电层1702和ILD层1602的蚀刻工艺。也去除开口中的CESL层1302、ILD层1602和介电层1702,从而暴露漏极/源极区域104和106上方的下面的硅化物区域。
将包括钨、钛、铝、铜和/或它们的任意组合等的金属材料填充到开口中,以形成接触塞1704和1706。
图18根据本发明的多个实施例示出了存储器结构的俯视图。存储器结构1802包括布置为行和列的多个存储器单元。如图18所示,平行地放置存储器栅极结构1804和控制栅极结构1806。如图18所示,控制栅极结构1806具有其自己的接触件1808。存储器栅极结构的接触件的形成包括:形成邻近存储器栅极结构1804的开口,将导电材料或多种导电材料填充到开口中以形成导电区域,其中,导电区域电连接至存储器栅极结构1804,并且在导电区域上方形成多个接触塞。
图19根据本发明的多个实施例示出了存储器结构的俯视图和截面图。俯视图1901示出了图18所示的俯视图的一部分。沿着俯视图1901的线a-a’来截取图19所示的截面图。如图19所示,平行地放置第一存储器栅极1912和第一控制栅极1914。同样地,平行地放置第二存储器栅极1922和第二控制栅极1924。如图19所示,在漏极/源极区域上方形成三个接触塞1902、1904和1906。
根据实施例,一种方法包括:在衬底上方形成控制栅极结构;在控制栅极结构上方沉积电荷存储层;在电荷存储层上方沉积存储器栅极层;将第一蚀刻工艺应用于存储器栅极层以形成第一存储器栅极结构和第二存储器栅极结构,其中,第一存储器栅极结构和第二存储器栅极结构沿着控制栅极结构的相对侧壁形成;以及去除第二存储器栅极结构。
该方法进一步包括:将第二蚀刻工艺应用于电荷存储层以形成L形电荷存储层,其中,L形电荷存储层位于第一存储器栅极结构和控制栅极结构之间;在衬底上方形成第一间隔件层;将第三蚀刻工艺应用于第一间隔件层,以形成沿着第一存储器栅极结构的侧壁的第一薄间隔件和沿着控制栅极结构的侧壁的第二薄间隔件;在存储器栅极结构上方形成顶部间隔件;以及形成邻近存储器栅极结构的第一漏极/源极区域和邻近控制栅极结构的第二漏极/源极区域。
根据一个实施例,一种方法包括:在衬底上方形成控制栅极结构;在控制栅极结构上方形成氧化物-氮化物-氧化物层;在氧化物-氮化物-氧化物层上方沉积存储器栅极层;通过第一蚀刻工艺形成第一存储器栅极结构和第二存储器栅极结构,其中,第一存储器栅极结构和第二存储器栅极结构沿着控制栅极结构的相对侧壁形成,通过第二蚀刻工艺去除第二存储器栅极结构,以及将第三蚀刻工艺应用于氧化物-氮化物-氧化物层的顶部氧化物层和氮化硅层。
该方法进一步包括:形成沿着第一存储器栅极结构的侧壁的第一薄间隔件和沿着控制栅极结构的侧壁的第二薄间隔件;将第四蚀刻工艺应用于存储器栅极层;在存储器栅极结构上方形成顶部间隔件;以及形成邻近存储器栅极结构的第一漏极/源极区域和邻近控制栅极结构的第二漏极/源极区域。
根据一个实施例,一种装置包括:位于衬底上方的控制栅极结构;位于衬底上方的存储器栅极结构,其中,电荷存储层形成在控制栅极结构和存储器栅极结构之间;沿着存储器栅极结构侧壁的第一间隔件;沿着控制栅极结构侧壁的第二间隔件;位于存储器栅极结构的顶面上方的氧化物层;位于氧化物层上方顶部间隔件;形成在衬底中并且邻近存储器栅极结构的第一漏极/源极区域;以及形成在衬底中并且邻近控制栅极结构的第二漏极/源极区域。
虽然已经详细地描述了本发明的实施例及其优点,但是应该理解,可以在不背离由所附权利要求限定的本发明的精神和范围的情况下,作出多种改变、替换和更改。
而且,本申请的范围不旨在限于在说明书中描述的工艺、机器、制造、材料组分、装置、方法和步骤的特定实施例。作为本领域普通技术人员应理解,根据本发明,现有的或今后开发的用于执行与根据本发明所采用的所述相应实施例基本相同的功能或获得基本相同结果的工艺、机器、制造、材料组分、装置、方法或步骤可以被使用。相应的,所附的权利要求意指包括例如工艺、机器、制造、材料组分、装置、方法或步骤的范围内。

Claims (20)

1.一种存储器件的形成方法,包括:
在衬底上方形成控制栅极结构;
在所述控制栅极结构上方沉积电荷存储层;
在所述电荷存储层上方沉积存储器栅极层;
将第一蚀刻工艺应用于所述存储器栅极层,以形成第一存储器栅极结构和第二存储器栅极结构,其中,沿着所述控制栅极结构的相对侧壁形成所述第一存储器栅极结构和所述第二存储器栅极结构;
去除所述第二存储器栅极结构;
将第二蚀刻工艺应用于所述电荷存储层,以形成L形电荷存储层,其中,所述L形电荷存储层位于所述第一存储器栅极结构和所述控制栅极结构之间;
在所述衬底上方形成第一间隔件层;
将第三蚀刻工艺应用于所述第一间隔件层,以沿着所述第一存储器栅极结构的侧壁形成第一薄间隔件且沿着所述控制栅极结构的侧壁形成第二薄间隔件;
在去除所述第一存储器栅极结构的一部分之后,在所述第一存储器栅极结构上方形成顶部间隔件;以及
形成邻近所述第一存储器栅极结构的第一漏极/源极区域和邻近所述控制栅极结构的第二漏极/源极区域。
2.根据权利要求1所述的方法,进一步包括:
在所述第一漏极/源极区域上方形成第一自对准多晶硅化物区域;以及
在所述第二漏极/源极区域上方形成第二自对准多晶硅化物区域。
3.根据权利要求1所述的方法,进一步包括:
在所述衬底上方沉积栅极介电层;
在所述栅极介电层上方沉积控制栅电极层;
在所述控制栅电极层上方沉积第一硬掩模层;
在所述第一硬掩模层上方沉积第二硬掩模层;以及
通过图案化工艺来形成所述控制栅极结构。
4.根据权利要求1所述的方法,进一步包括:
在所述衬底和所述控制栅极结构上方形成第一氧化物层;
在所述第一氧化物层上方形成所述电荷存储层,其中,所述电荷存储层是氮化硅层;以及
在所述电荷存储层上方形成第二氧化物层。
5.根据权利要求1所述的方法,进一步包括:
在将所述第三蚀刻工艺应用于所述第一间隔件层以沿着所述第一存储器栅极结构的侧壁形成所述第一薄间隔件和沿着所述控制栅极结构的侧壁形成所述第二薄间隔件的步骤之后,将第四蚀刻工艺应用于所述存储器栅极层。
6.根据权利要求5所述的方法,其中:
所述第四蚀刻工艺是各向同性干蚀刻工艺。
7.根据权利要求1所述的方法,进一步包括:
在所述第一存储器栅极结构和所述控制栅极结构上方形成蚀刻停止层;
在所述蚀刻停止层上方沉积层间介电层,其中,所述第一存储器栅极结构和所述控制栅极结构嵌入所述层间介电层中;
对所述层间介电层实施化学机械抛光工艺,直到暴露所述控制栅极结构的顶面;以及
形成连接至所述第一漏极/源极区域和所述第二漏极/源极区域的接触塞。
8.一种存储器件的形成方法,包括:
在衬底上方形成控制栅极结构;
在所述控制栅极结构上方形成氧化物-氮化物-氧化物层;
在所述氧化物-氮化物-氧化物层上方沉积存储器栅极层;
通过第一蚀刻工艺形成第一存储器栅极结构和第二存储器栅极结构,其中,沿着所述控制栅极结构的相对侧壁形成所述第一存储器栅极结构和所述第二存储器栅极结构;
通过第二蚀刻工艺去除所述第二存储器栅极结构;
将第三蚀刻工艺应用于所述氧化物-氮化物-氧化物层的顶部氧化物层和氮化硅层;
沿着所述第一存储器栅极结构的侧壁形成第一薄间隔件且沿着所述控制栅极结构的侧壁形成第二薄间隔件;
将第四蚀刻工艺应用于所述第一存储器栅极结构;
在所述第一存储器栅极结构上方形成顶部间隔件;以及
形成邻近所述第一存储器栅极结构的第一漏极/源极区域和邻近所述控制栅极结构的第二漏极/源极区域。
9.根据权利要求8所述的方法,进一步包括:
在所述衬底和所述控制栅极结构上方形成底部氧化物层;
在所述底部氧化物层上方形成所述氮化硅层;以及
在所述氮化硅层上方形成所述顶部氧化物层。
10.根据权利要求9所述的方法,其中:
所述底部氧化物层的厚度为约50埃;
所述氮化硅层的厚度为约100埃;以及
所述顶部氧化物层的厚度为约100埃。
11.根据权利要求8所述的方法,进一步包括:
形成邻近所述第一存储器栅极结构的开口;
将多种导电材料填充到所述开口中以形成导电区域,其中,所述导电区域电连接至所述第一存储器栅极结构;以及
在所述导电区域上方形成接触塞,其中,所述接触塞电连接至所述导电区域。
12.根据权利要求8所述的方法,其中:
所述第二蚀刻工艺是各向同性干蚀刻工艺。
13.根据权利要求8所述的方法,其中:
所述第四蚀刻工艺是各向同性干蚀刻工艺。
14.根据权利要求8所述的方法,其中:
所述氮化硅层是电荷存储层。
15.一种存储器件,包括:
控制栅极结构,位于衬底上方;
存储器栅极结构,位于所述衬底上方,其中,在所述控制栅极结构和所述存储器栅极结构之间形成电荷存储层;
第一间隔件,沿着所述存储器栅极结构的侧壁;
第二间隔件,沿着所述控制栅极结构的侧壁;
氧化物层,位于所述存储器栅极结构的顶面上方,所述氧化物层形成在所述存储器栅极结构顶面的凹陷中;
顶部间隔件,位于所述氧化物层上方;
第一漏极/源极区域,形成在所述衬底中,并且邻近所述存储器栅极结构;以及
第二漏极/源极区域,形成在所述衬底中,并且邻近所述控制栅极结构。
16.根据权利要求15所述的存储器件,其中:
所述电荷存储层是L形层。
17.根据权利要求16所述的存储器件,其中:
所述L形层的水平侧面形成在所述存储器栅极结构和所述衬底之间;以及
所述L形层的垂直侧面形成在所述存储器栅极结构和所述控制栅极结构之间。
18.根据权利要求15所述的存储器件,其中:
所述电荷存储层是氮化硅层。
19.根据权利要求15所述的存储器件,其中:
所述顶部间隔件由氮化硅形成。
20.根据权利要求15所述的存储器件,其中:
所述第一间隔件由氮化硅形成;以及
所述第二间隔件由氮化硅形成。
CN201410050188.0A 2013-12-03 2014-02-13 存储器件及其制造方法 Active CN104681498B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/095,588 2013-12-03
US14/095,588 US9559177B2 (en) 2013-12-03 2013-12-03 Memory devices and method of fabricating same

Publications (2)

Publication Number Publication Date
CN104681498A CN104681498A (zh) 2015-06-03
CN104681498B true CN104681498B (zh) 2017-12-05

Family

ID=53265973

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410050188.0A Active CN104681498B (zh) 2013-12-03 2014-02-13 存储器件及其制造方法

Country Status (3)

Country Link
US (4) US9559177B2 (zh)
KR (2) KR20150064691A (zh)
CN (1) CN104681498B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9082651B2 (en) 2013-09-27 2015-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Memory devices and method of forming same
US9076681B2 (en) 2013-09-27 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Memory devices and method of fabricating same
US9559177B2 (en) 2013-12-03 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Memory devices and method of fabricating same
US9391085B2 (en) * 2014-08-08 2016-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned split gate flash memory having liner-separated spacers above the memory gate
US9917165B2 (en) * 2015-05-15 2018-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Memory cell structure for improving erase speed
TWI685948B (zh) * 2019-02-01 2020-02-21 力晶積成電子製造股份有限公司 記憶體結構及其製造方法
CN110310953A (zh) * 2019-07-03 2019-10-08 上海华虹宏力半导体制造有限公司 一种半导体器件结构及其制作方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101051652A (zh) * 2006-04-04 2007-10-10 株式会社瑞萨科技 半导体器件及其制造方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6091101A (en) 1998-03-30 2000-07-18 Worldwide Semiconductor Manufacturing Corporation Multi-level flash memory using triple well
JP4904631B2 (ja) 2000-10-27 2012-03-28 ソニー株式会社 不揮発性半導体記憶装置およびその製造方法
EP1417704B1 (en) * 2001-08-06 2009-02-04 Nxp B.V. Method of manufacturing a non-volatile memory transistor with an access gate on one side of a control gate/floating-gate stack using a spacer
EP1300888B1 (en) 2001-10-08 2013-03-13 STMicroelectronics Srl Process for manufacturing a dual charge storage location memory cell
JP4477886B2 (ja) 2003-04-28 2010-06-09 株式会社ルネサステクノロジ 半導体装置の製造方法
JP4746835B2 (ja) 2003-10-20 2011-08-10 ルネサスエレクトロニクス株式会社 不揮発性半導体記憶装置
JP2007184323A (ja) 2006-01-04 2007-07-19 Renesas Technology Corp 半導体装置および半導体装置の製造方法
TWI318436B (en) 2006-08-09 2009-12-11 Macronix Int Co Ltd Method of manufacturing nano-crystalline silicon dot layer
US7626224B2 (en) 2006-09-13 2009-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with split gate memory cell and fabrication method thereof
JP2008112934A (ja) 2006-10-31 2008-05-15 Oki Electric Ind Co Ltd 半導体記憶装置及びその製造方法
US7652318B2 (en) 2006-11-03 2010-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Split-gate memory cells and fabrication methods thereof
JP2008166379A (ja) 2006-12-27 2008-07-17 Oki Electric Ind Co Ltd 半導体記憶装置及びその製造方法
JP2009010035A (ja) 2007-06-26 2009-01-15 Renesas Technology Corp 不揮発性半導体記憶装置およびその製造方法
JP2009194221A (ja) 2008-02-15 2009-08-27 Renesas Technology Corp 半導体装置およびその製造方法
JP2010245345A (ja) * 2009-04-07 2010-10-28 Renesas Electronics Corp 不揮発性半導体メモリ及びその製造方法
JP2010282987A (ja) * 2009-06-02 2010-12-16 Renesas Technology Corp 半導体装置およびその製造方法
JP5613506B2 (ja) 2009-10-28 2014-10-22 ルネサスエレクトロニクス株式会社 半導体装置
JP5538828B2 (ja) 2009-11-11 2014-07-02 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP5538838B2 (ja) * 2009-11-25 2014-07-02 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP5592214B2 (ja) 2010-09-22 2014-09-17 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP5661524B2 (ja) 2011-03-22 2015-01-28 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
JP2011176348A (ja) 2011-04-25 2011-09-08 Renesas Electronics Corp 半導体装置
JP2013021262A (ja) 2011-07-14 2013-01-31 Renesas Electronics Corp 半導体装置の製造方法
US9064803B2 (en) 2011-07-25 2015-06-23 Globalfoundries Singapore Pte. Ltd. Split-gate flash memory exhibiting reduced interference
JP5878797B2 (ja) 2012-03-13 2016-03-08 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP6026914B2 (ja) * 2013-02-12 2016-11-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9082651B2 (en) 2013-09-27 2015-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Memory devices and method of forming same
US9076681B2 (en) 2013-09-27 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Memory devices and method of fabricating same
US9559177B2 (en) 2013-12-03 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Memory devices and method of fabricating same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101051652A (zh) * 2006-04-04 2007-10-10 株式会社瑞萨科技 半导体器件及其制造方法

Also Published As

Publication number Publication date
US20170133396A1 (en) 2017-05-11
KR101992520B1 (ko) 2019-06-24
US20150155293A1 (en) 2015-06-04
US20200266205A1 (en) 2020-08-20
CN104681498A (zh) 2015-06-03
US10665600B2 (en) 2020-05-26
US9559177B2 (en) 2017-01-31
US20220285382A1 (en) 2022-09-08
US11348935B2 (en) 2022-05-31
KR20150064691A (ko) 2015-06-11
KR20160090276A (ko) 2016-07-29

Similar Documents

Publication Publication Date Title
CN104681498B (zh) 存储器件及其制造方法
CN104517903B (zh) 存储器件及其形成方法
US9899413B2 (en) Integrated structures and methods of forming vertically-stacked memory cells
CN104517904B (zh) 存储器件及其制造方法
TW202010102A (zh) 積體晶片、記憶體結構及其形成方法
US20160172366A1 (en) Three dimensional memory device with blocking dielectric having enhanced protection against fluorine attack
US9583499B1 (en) Devices with embedded non-volatile memory and metal gates and methods for fabricating the same
US7951671B2 (en) Method of fabricating non-volatile memory device having separate charge trap patterns
US9240482B2 (en) Asymmetric stressor DRAM
US20150129947A1 (en) Nonvolatile semiconductor storage device
US8999833B1 (en) Method and apparatus for controlling gate dimensions of memory devices
TWI508169B (zh) 半導體裝置及其形成方法
US9318697B2 (en) Methods of detecting an etch by-product and methods of manufacturing a magnetoresistive random access memory device using the same
KR20090068000A (ko) 하이-케이 유전막을 게이트간 절연막으로 사용하는 플래시메모리소자의 제조방법
KR20090002619A (ko) 비휘발성 메모리 소자 및 그 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant