TW202010102A - 積體晶片、記憶體結構及其形成方法 - Google Patents

積體晶片、記憶體結構及其形成方法 Download PDF

Info

Publication number
TW202010102A
TW202010102A TW108116019A TW108116019A TW202010102A TW 202010102 A TW202010102 A TW 202010102A TW 108116019 A TW108116019 A TW 108116019A TW 108116019 A TW108116019 A TW 108116019A TW 202010102 A TW202010102 A TW 202010102A
Authority
TW
Taiwan
Prior art keywords
layer
substrate
random access
access memory
ferroelectric
Prior art date
Application number
TW108116019A
Other languages
English (en)
Other versions
TWI701809B (zh
Inventor
陳姿妤
涂國基
朱文定
才永軒
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202010102A publication Critical patent/TW202010102A/zh
Application granted granted Critical
Publication of TWI701809B publication Critical patent/TWI701809B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/22Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements
    • G11C11/225Auxiliary circuits
    • G11C11/2259Cell access
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/22Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements
    • G11C11/223Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements using MOS with ferroelectric gate insulating film
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/22Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements
    • G11C11/225Auxiliary circuits
    • G11C11/2253Address circuits or decoders
    • G11C11/2255Bit-line or column circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/22Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements
    • G11C11/225Auxiliary circuits
    • G11C11/2253Address circuits or decoders
    • G11C11/2257Word-line or row circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/40Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/40Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/50Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the boundary region between the core and peripheral circuit regions
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/22Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements
    • G11C11/225Auxiliary circuits
    • G11C11/2273Reading or sensing circuits or methods
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/22Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements
    • G11C11/225Auxiliary circuits
    • G11C11/2275Writing or programming circuits or methods

Abstract

在一些實施例中,本揭露內容是關於一種記憶體結構。記憶體結構具有安置於基底內的源極區及汲極區。選擇閘極安置於基底上方,位於源極區與汲極區之間。鐵電隨機存取記憶體(FeRAM)元件安置於基底上方,位於選擇閘極與源極區之間。鐵電隨機存取記憶體元件包含配置於基底與導電電極之間的鐵電材料。

Description

嵌入式鐵電記憶體胞
許多現代電子元件含有經組態以儲存資料的電子記憶體。電子記憶體可為揮發性記憶體或非揮發性記憶體。揮發性記憶體在向其供電時儲存資料,而非揮發性記憶體能夠在移除電源時儲存資料。鐵電隨機存取記憶體(Ferroelectric random-access memory;FeRAM)元件為用於新一代非揮發性記憶體技術的一個有前景的候選。這是由於FeRAM元件具有許多優勢,包含寫入時間快、耐久性高、電力消耗低以及對來自輻射的損害具有較低易感性。
以下揭露內容提供用於實施所提供主題的不同特徵的許多不同實施例或實例。下文描述組件及配置的具體實例以簡化本揭露內容。當然,此等組件及配置僅為實例且並不意欲為限制性的。舉例而言,在以下描述中,第一特徵形成於第二特徵上方或第二特徵上可包含第一特徵與第二特徵直接接觸地形成的實施例,且亦可包含額外特徵可形成於第一特徵與第二特徵之間以使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露內容可在各種實例中重複附圖標號及/或字母。此重複是出於簡單性及清晰的目的且本身不指示所論述的各種實施例及/或組態之間的關係。
另外,為易於描述,本文中可使用諸如「在...之下」、「在...下方」、「下部、「在...上方」、「上部」以及類似者的空間相對術語以描述如在圖式中所示出的一個元件或特徵與另一元件或特徵的關係。除圖式中所描繪的定向之外,空間相對術語還意欲涵蓋元件在使用或操作中的不同定向。設備可以其他方式定向(旋轉90度或處於其他定向)且本文中所使用的空間相對描述詞可同樣相應地進行解譯。
嵌入式記憶體已常見於現代積體晶片中。嵌入式記憶體為與邏輯元件(例如處理器或ASIC)位於相同積體晶片晶粒上的電子記憶體元件。藉由在相同積體晶片晶粒上嵌入記憶體元件及邏輯元件,可縮短記憶體元件與邏輯元件之間的導電內連線,藉此降低積體晶片的功率及/或提高積體晶片的效能。
FeRAM(鐵電隨機存取記憶體)元件為用於嵌入式記憶體應用的有前景的候選。FeRAM元件可整合於FeRAM陣列中作為多個1T(一個電晶體)胞。多個1T胞分別包括橫向安置於源極區與汲極區之間的通道區。鐵電材料豎直配置於通道區與上覆導電電極之間。鐵電材料能夠取決於聚積於通道區內的電荷及/或施加於導電電極的偏壓電壓而儲存(例如對應於邏輯「0」或「1」的)資料狀態。
然而,已瞭解,不合需要的電流可在未經選擇的1T FeRAM胞的通道區中流動。不合需要的電流可能增加FeRAM陣列的功耗及/或負面影響FeRAM陣列的讀取操作。已進一步瞭解,未經選擇的1T FeRAM胞的通道區中的不合需要的電流可在1T FeRAM胞的大小減小時對功耗及/或FeRAM陣列的讀取操作產生更大影響。
在一些實施例中,本揭露內容是關於一種具有嵌入式FeRAM胞的積體晶片。嵌入式FeRAM胞包括安置於基底內的源極區及汲極區。選擇閘極安置於基底上方,位於源極區與汲極區之間,且FeRAM元件安置於基底上方,位於選擇閘極與源極區之間。FeRAM元件包括配置於基底與導電電極之間的鐵電層。選擇閘極及/或導電電極經組態以選擇性地對FeRAM元件提供存取(例如讀取及/或寫入資料)。藉由使用選擇閘極選擇性地對FeRAM元件提供存取,記憶體胞有效地作為1.5電晶體FERAM胞(例如,經組態以基於在大於一個導電電極處接收到的閘極電壓來切換對FeRAM元件提供存取的FeRAM胞)運行,使得在未經選擇的FeRAM胞的通道區中實現相對較低的電流,且藉此改良功耗及/或FeRAM陣列的讀取操作。
圖1示出包括鐵電隨機存取記憶體(FeRAM)胞的記憶體結構100的一些實施例的橫截面視圖。
記憶體結構100包括經組態以分別儲存資料狀態(例如邏輯「0」或「1」)的多個FeRAM胞104a-104b。多個FeRAM胞104a-104b配置於基底102上方,位於基底102內的汲極區106a-106b與共同源極區108之間。舉例而言,在一些實施例中,多個FeRAM胞104a-104b包括配置於第一汲極區106a與共同源極區108之間的第一FeRAM胞104a以及配置於第二汲極區106b與共同源極區108之間的第二FeRAM胞104b。
多個FeRAM胞104a-104b分別包括FeRAM元件110及選擇閘極112。FeRAM元件110具有配置於基底102與上覆導電電極116之間的鐵電材料114。選擇閘極112沿FeRAM元件110的第一側配置,位於FeRAM元件110與汲極區106a-106b中的最近者之間。
在一些實施例中,選擇閘極112的第一側借助於第一側壁間隔件118a與FeRAM元件110的第一側橫向分隔。在一些實施例中,第二側壁間隔件118b沿FeRAM元件110的第二側配置且第三側壁間隔件118c沿選擇閘極112的第二側配置。在一些實施例中,第一側壁間隔件118a、第二側壁間隔件118b以及第三側壁間隔件118c包括相同材料中的一或多者。在一些實施例中,第一側壁間隔件118a、第二側壁間隔件118b以及第三側壁間隔件118c包括一或多種不同材料。
在一些實施例中,鐵電材料114及選擇閘極112借助於界面介電層120與基底102分隔。在一些實施例中,界面介電層120自鐵電材料114正下方連續延伸至選擇閘極112正下方。層間介電(inter-level dielectric;ILD)結構122配置於基底102上方且橫向包圍多個FeRAM胞104a-104b。多個導電接觸件124延伸穿過ILD結構122以接觸汲極區106a-106b、共同源極區108、導電電極116以及選擇閘極112。
在操作期間,可將一或多個偏壓電壓施加於導電電極116及選擇閘極112。一或多個偏壓電壓使得電荷載子(例如電子及/或電洞)聚積於汲極區106a-106b與共同源極區108之間的通道區126內。偏壓電壓及/或電荷載子產生延伸穿過鐵電材料114的電場。電場經組態以取決於所施加的偏壓電壓及/或電荷載子來改變鐵電材料114內的電偶極的位置。若鐵電材料114的磁極化具有第一極化,則FeRAM元件110將用數位方式儲存資料作為第一位元值(例如邏輯「0」)。替代地,若鐵電材料114的磁極化具有第二極化,則FeRAM元件110將用數位方式儲存資料作為第二位元值(例如邏輯「1」)。
通常,FeRAM胞會經歷在未經選擇的FeRAM胞的通道區內的小的漏電流。然而,選擇閘極112經組態以減小未經選擇的FeRAM胞的通道電流,藉此降低FeRAM陣列的功耗。此外,相較於其他通常使用的嵌入式記憶體類型(例如,諸如可利用抹除閘極的嵌入式快閃記憶體),FeRAM元件110的相對簡單的操作使得能夠借助於相對簡單的製造過程來形成FeRAM元件110,藉此允許以低成本形成FeRAM元件110。
圖2A示出包括FeRAM胞的記憶體結構200的一些額外實施例的橫截面視圖。
記憶體結構200包括配置於基底102上方的多個FeRAM胞104a-104b。在一些實施例中,隔離結構202可配置於基底102內,位於多個FeRAM胞104a-104b的相對側上。隔離結構202可包括配置於由基底102的內部表面定義的溝渠內的一或多種介電材料。在一些實施例中,隔離結構202可包括淺溝渠隔離(shallow trench isolation;STI)結構。在一些此類實施例中,隔離結構202可包括在圍繞多個FeRAM胞104a-104b的周界的閉合環路中連續延伸的相同隔離結構。
多個FeRAM胞104a-104b分別包括配置於汲極區106a-106b與共同源極區108之間的FeRAM元件110及選擇閘極112。在一些實施例中,選擇閘極112可包括導電材料,諸如摻雜多晶矽、金屬或類似者。在一些實施例中,汲極區106a-106b及共同源極區108可具有與基底102的第二摻雜類型相反的第一摻雜類型。在一些實施例中,共同源極區108在兩個或大於兩個FeRAM胞(未繪示)之間垂直於紙的平面連續延伸(例如延伸至所述平面中)以形成源極線。在一些實施例中,矽化物層204配置於汲極區106a-106b及共同源極區108上方。矽化物層204可包括鎳、鈷或類似者。
在一些實施例中,汲極區106a-106b可與共同源極區108具有不同摻雜濃度及/或形狀。舉例而言,在一些實施例中,汲極區106a-106b可比共同源極區108具有更低摻雜濃度。汲極區106a-106b的更低摻雜濃度緩解FeRAM胞104a-104b中的閘極引發汲極漏電流(gate induced drain leakage current;GIDL current)。
FeRAM元件110包括鐵電材料114及安置於鐵電材料114上方的導電電極116。在一些實施例中,鐵電材料114借助於界面介電層120與基底102分隔。在一些實施例中,界面介電層120在第一FeRAM胞104a與第二FeRAM胞104b之間具有實質上平坦表面。在一些實施例中,界面介電層120可包括氧化物、氮化物、碳化物或類似者。在一些實施例中,導電電極116可包括蝕刻終止層208及導電材料210。在各種實施例中,鐵電材料114可包括摻矽氧化鉿(Si-doped HfO2 )、鈦酸鉛、鈦酸鋯鉛(lead zirconate titanate;PZT)、鈦酸鋯鑭鉛、鉭酸鍶鉍(strontium bismuth tantalate;SBT)、鈦酸鉍鑭(bismuth lanthanum titanate;BLT)、鈦酸鉍釹(bismuth neodymium titanate;BNT)或類似者。在一些實施例中,蝕刻終止層208可包括鋁、釕、鈀、鉿、鋯、鈦或類似者。在一些實施例中,導電材料210可包括多晶矽、鋁、銅或類似者。在一些實施例中,導電材料210可為與選擇閘極112相同的材料(例如多晶矽)。
第一側壁間隔件材料212沿FeRAM元件110的相對側配置。在一些實施例中,第一側壁間隔件材料212連續延伸以直接接觸導電材料210、蝕刻終止層208以及鐵電材料114的側壁。在一些實施例中,第一側壁間隔件材料212可連續延伸以直接接觸且完全覆蓋導電材料210、蝕刻終止層208以及鐵電材料114的側壁。第二側壁間隔件材料214借助於第一側壁間隔件材料212與FeRAM元件110的相對側分隔。第二側壁間隔件材料214亦分隔第一側壁間隔件材料212與選擇閘極112。第三側壁間隔件材料216沿FeRAM元件110的遠離(facing away from)最近選擇閘極112的一側來配置且沿選擇閘極112的遠離最近FeRAM元件110的一側來配置。
第一側壁間隔件材料212、第二側壁間隔件材料214以及第三側壁間隔件材料216具有實質上相等的高度。在一些實施例中,第一側壁間隔件材料212、第二側壁間隔件材料214以及第三側壁間隔件材料216分別自沿鐵電材料114的底部延伸的第一水平平面延伸至沿導電材料210的頂部延伸的第二水平平面。在一些實施例中,第一側壁間隔件材料212、第二側壁間隔件材料214以及第三側壁間隔件材料216包括不同材料。舉例而言,第一側壁間隔件材料212可包括氮化物(例如氮化矽),第二側壁間隔件材料214可包括氧化物(例如氧化矽),且第三側壁間隔件材料216可包括碳化物(例如碳化矽)。
接觸蝕刻終止層(contact etch stop layer;CESL)218配置於基底102上方且沿第三側壁間隔件材料216的側壁配置。CESL 218橫向分隔第三側壁間隔件材料216與第一層間介電(ILD)層122a,且第一層間介電(ILD)層122a橫向包圍多個FeRAM胞104a-104b。在一些實施例中,CESL 218的最上表面實質上平面於與導電電極116、選擇閘極112以及第一ILD層122a的上表面。在一些實施例中,CESL 218可包括碳化物(例如碳化矽)、氮化物(例如氮化矽)或類似者。在一些實施例中,第一ILD層122a可包括氧化物(例如氧化矽)、硼矽酸鹽玻璃(borosilicate glass;BSG)、磷矽酸鹽玻璃(phosphosilicate glass;PSG)或類似者。
第二ILD層122b配置於第一ILD層122a上方且IMD(金屬間介電)層122c配置於第二ILD層122b上方。在一些實施例中,第二ILD層122b及/或IMD層122c可包括硼磷矽酸鹽玻璃(borophosphosilicate glass;BPSG)、硼矽酸鹽玻璃(BSG)、磷矽酸鹽玻璃(PSG)、摻氟二氧化矽、摻碳二氧化矽或類似者。多個導電接觸件124自第二ILD層122b的頂部延伸至汲極區106a-106b、共同源極區108、選擇閘極112(未繪示)以及導電電極116(未繪示)。導電內連線電線220安置於IMD層122c內。導電內連線電線220電性耦接至導電接觸件124。在一些實施例中,導電接觸件124及/或導電內連線電線220可包括金屬,諸如鎢、銅或類似者。
在一些實施例中,的導電接觸件124中的一者(其位於共同源極區108正上方)可橫向接觸第一ILD層122a(例如,使得導電接觸件藉由第一ILD層122a與CESL 218分隔)。在其他實施例中(未繪示),導電接觸件124中的一者(位於共同源極區108正上方)與CESL 218之間的界面豎直延伸至沿FeRAM元件110的頂部延伸的水平平面。
圖2B示出FeRAM胞222的一些替代性實施例的橫截面視圖。
FeRAM胞222包括鐵電材料114及導電電極116。在一些實施例中,FeRAM胞222可藉由以下步驟形成:在鐵電材料層上方沉積導電材料層,且隨後使導電材料層及鐵電材料層圖案化。歸因於用來定義FERAM胞222的選擇性蝕刻製程,此類圖案化使得鐵電材料114及導電電極116的寬度隨著與基底102的距離增加而減小。在一些實施例中,鐵電材料114及導電電極116的側壁相對於與基底102的上表面正交的線224以一或多個非零角度成角度。舉例而言,在一些實施例中,鐵電材料114的側壁相對於與基底102的上表面正交的線224以α角度成角度,且導電電極116的側壁相對於與基底102的上表面正交的線224以β角度成角度。在一些實施例中,α及β可實質上相等。在其他實施例中,α及β可不同。在一些實施例中,α及β可在約0°與約30°的範圍內。在一些實施例中,α及β可在0°與30°之間的範圍內。
在一些實施例中,用來蝕刻導電電極116及鐵電材料114的蝕刻劑的選擇率可為不同的,使得導電電極116及鐵電材料114以不同速率蝕刻。不同的蝕刻選擇率可使得蝕刻劑以不同橫向蝕刻速率蝕刻導電電極及鐵電材料,使得導電電極116的底部表面的寬度小於鐵電材料114的頂部表面的寬度。導電電極116的底部表面的寬度更小使得鐵電材料的頂部表面連續延伸超過導電電極116的相對側非零距離。在其他實施例中,導電電極116的底部表面的寬度可與鐵電材料114的頂部表面的寬度實質上相等。
在一些實施例中,界面介電層120可在鐵電材料114正下方具有第一厚度t1 且在選擇閘極112正下方具有第二厚度t2 。在一些實施例中,第一厚度t1 不同於(例如大於)第二厚度t2 。舉例而言,在一些實施例中,第一厚度t1 大於第二厚度t2 可在約0奈米與約5奈米之間的範圍內。在此類實施例中,第一側壁間隔件材料212、第二側壁間隔件材料214以及第三側壁間隔件材料216分別自鐵電材料114下方延伸至導電電極116的頂部。在其他實施例中(未繪示),第一厚度t1 與第二厚度t2 實質上相等。在另外其他實施例中(未繪示),界面介電層120可在鐵電材料114正下方具有第一厚度、在選擇閘極112正下方具有第二厚度,且在選擇閘極112及鐵電材料114外部具有第三厚度。在此類實施例中,第一厚度可大於第二厚度(例如約0奈米與約5奈米之間),且第二厚度可大於第三厚度(例如約0奈米與約5奈米之間)。
圖3示出包括嵌入式FeRAM胞的積體晶片300的一些額外實施例的橫截面視圖。
積體晶片300包括具有嵌入式記憶體區302a的基底102,所述嵌入式記憶體區借助於邊界區302b與邏輯區302c分隔。在一些實施例中,基底102可具有在嵌入式記憶體區302a內的凹入表面101a。凹入表面101a在基底102的上表面101u下方凹入非零距離d 。在一些實施例中,隔離結構202可配置於基底102中的溝渠中,位於嵌入式記憶體區302a及邏輯區302c內。
多個FeRAM胞104a-104b配置於凹入表面101a上方。多個FeRAM胞104a-104b分別包括FeRAM元件110及選擇閘極112。在一些實施例中,FeRAM元件110具有在約500埃與約1000埃之間的範圍內的高度。在其他實施例中,FeRAM元件110具有在約600埃與約900埃之間的範圍內的高度。在一些實施例中,非零距離d 在約100埃與約200埃之間的範圍內。在邏輯區302c內形成電晶體元件(例如,高k金屬閘極電晶體元件)期間,FeRAM元件110的高度及非零距離d 增大FeRAM元件110的化學機械平坦化(chemical mechanical planarization;CMP)裕度。
在一些實施例中,邊界區302b包括配置於基底102上方且分隔嵌入式記憶體區302a與邏輯區302c的邊界結構304。邊界結構304包括邊界隔離結構306、虛設記憶體結構308以及虛設邏輯結構310。
邊界隔離結構306延伸至安置於基底102的上表面101u與基底102的凹入表面101a之間的溝渠中。邊界隔離結構306可包括安置於溝渠內的一或多種介電材料(例如氧化物、氮化物、碳化物及/或類似者)。邊界隔離結構306包括第一傾斜側壁306a及第二傾斜側壁306b,且第一傾斜側壁306a及第二傾斜側壁306b 沿邊界隔離結構306的頂部定義小凸起306h。在一些實施例中,小凸起306h可更靠近嵌入式記憶體區302a而非更靠近邏輯區302c。在一些實施例中,第一傾斜側壁306a比第二傾斜側壁306b以更小角度傾斜。
虛設記憶體結構308上覆於小凸起306h。虛設記憶體結構308包括下部虛設記憶體層312及下部虛設記憶體層312上方的上部虛設記憶體層314。在一些實施例中,下部虛設記憶體層312可包括例如氧化矽、氮化矽、氮氧化矽、碳化矽、多晶矽、鋁銅、鉭、氮化鉭、氮化鈦或類似者。下部虛設記憶體層312為與上部虛設記憶體層314不同的材料,且可為或可包括例如氧化矽、氮化矽或類似者。在一些實施例中,下部虛設記憶體層312包括氧化矽且上部虛設記憶體層314包括多晶矽。在一些實施例中,虛設記憶體結構308具有面對嵌入式記憶體區302a的實質上豎直側壁及面對邏輯區302c的傾斜側壁。在一些實施例中,第三側壁間隔件材料216配置於實質上豎直側壁與CESL 218之間,而傾斜側壁直接接觸CESL 218。
虛設邏輯結構310上覆於邊界隔離結構306,位於虛設記憶體結構308與邏輯區302c之間。虛設邏輯結構310包括閘極介電層316及上覆上部虛設邏輯層322。在一些實施例中,蝕刻終止層320可配置於閘極介電層316與上部虛設邏輯層322之間。在一些實施例中,上部虛設邏輯層322可包括多晶矽或一些其他合適的材料。
邏輯區302c包括電晶體元件324。電晶體元件324具有配置於基底102的上表面101u上方位於第二源極區328a與第二汲極區328b之間的閘極電極326。在一些實施例中,第二源極區328a及第二汲極區328b可接觸井區330,所述井區在閘極電極326之下且具有不同於第二源極區328a及第二汲極區328b的摻雜類型。在一些實施例中,閘極電極326藉由閘極介電層316與基底102分隔。在一些實施例中,蝕刻終止層320可配置於閘極介電層316與閘極電極326之間。在一些實施例中,界面介電層332可配置於閘極介電層316與基底102之間。
在一些實施例中,閘極電極326可包括金屬閘極電極(例如包括鋁、釕、鈀或類似者)且閘極介電層316可包括高k介電質(例如包括氧化鋁、氧化鉿或類似者)。在一些實施例中,在一些實施例中,蝕刻終止層320可包括氮化鉭或類似者。在一些實施例中,界面介電層332可包括氧化物(例如氧化矽或類似者)。在其他實施例中(未繪示),閘極電極326可包括多晶矽且閘極介電層316可包括(例如二氧化矽)氧化物或高k介電質。在此類實施例中,可省略蝕刻終止層320。
第一邏輯側壁間隔件材料334沿電晶體元件324的相對側配置。在一些實施例中,第二邏輯側壁間隔件材料336沿電晶體元件324的相對側配置。在一些實施例中,第一邏輯側壁間隔件材料334(例如氮化矽)可與第二邏輯側壁間隔件材料336(例如氧化矽)包括不同介電材料。在一些實施例中,第一邏輯側壁間隔件材料334可與嵌入式記憶體區302a內的第一側壁間隔件材料(圖2A的第一側壁間隔件材料212)包括相同材料,且第二邏輯側壁間隔件材料336可與第三側壁間隔件材料(圖2A的第三側壁間隔件材料216)包括相同材料。
圖4A示出所揭露的FeRAM胞400的示意圖。
FeRAM胞400包括配置於位元線(例如對應於圖1的第一汲極區106a)與源極線(例如對應於圖1的共同源極區108)之間的選擇閘極112及FeRAM元件110。FeRAM元件110包括配置於通道區126與導電電極116之間的鐵電材料114。選擇閘極112耦接至字元線,而導電電極116耦接至控制閘極線,且控制閘極線經組態以獨立於字元線施加偏壓。
表一為圖4A所揭露的FeRAM胞400的示例性操作條件的一些實施例。應瞭解,基於所施加電壓將資料狀態寫入至FeRAM胞。舉例而言,在FeRAM胞上施加正電壓將第一資料狀態寫入至FeRAM胞,而在FeRAM胞上施加負電壓將第二資料狀態寫入至FeRAM胞。
表一
Figure 108116019-A0304-0001
如表一的第一列中所示,為了將第一資料狀態(例如對應於邏輯「1」)寫入至FeRAM元件(圖4A的FeRAM元件110),將源極線(source-line;SL)及位元線(bit-line;BL)保持在約0伏,將耦接至選擇閘極(圖4A的選擇閘極112)的字元線(word-line;WL)保持在約0伏與約1伏之間,且將控制閘極線(control-gate-line;CGL)保持在非零偏壓電壓Vprog 。如表一的第二列所示,為了將第二資料狀態(例如對應於邏輯「0」)寫入至FeRAM元件(圖4A的FeRAM元件110),將源極線(SL)及位元線(BL)保持在非零偏壓電壓Vprog ,將字元線(WL)及控制閘極線(CGL)保持在約0伏。
鐵電材料(圖4A的鐵電材料114)的極化能夠改變FeRAM元件(圖4A的FeRAM元件110)的臨限值電壓,以使得可藉由偵測FeRAM元件(圖4A的FeRAM元件110)的臨限值電壓的變化來自FeRAM元件(圖4A的FeRAM元件110)讀取資料狀態。如表一的第三列中所示,為了自FeRAM元件(圖4A的FeRAM元件110)讀取資料狀態,將位元線(BL)保持在約0伏,將字元線(WL)保持在約0.5伏與1.8伏之間,將源極線(SL)保持在約0.5伏與Vdd 之間,且將控制閘極線(CGL)保持在約0伏與Vdd 之間。
應瞭解,非零偏壓電壓Vprog 的值可取決於FeRAM元件(圖4A的FeRAM元件110)的鐵電材料而變化。舉例而言,具有氧化鉿的鐵電材料的FeRAM元件可與具有PZT的鐵電材料的FeRAM元件使用不同的非零偏壓電壓Vprog (例如,Vprog 為約等於6伏)。
圖4B示出包括多個FeRAM胞400a-400d的記憶體結構410。
多個FeRAM胞400a-400d呈列及/或行配置於記憶體陣列412內。列內的多個FeRAM胞400a-400d借助於選擇閘極112以可操作方式耦接至字元線WL1 -WL2 且以可操作方式耦接至控制閘極線CGL1 -CGL2 。行內的多個FeRAM胞400a-400d以可操作方式耦接至位元線BL1 -BL2 及源極線SL1 -SL2
字元線WL1 -WL2 、位元線BL1 -BL2 、源極線SL1 -SL2 以及控制閘極線CGL1 -CGL2 耦接至控制電路414。在一些實施例中,控制電路414包括耦接至字元線WL1 -WL2 的字元線解碼器416、耦接至位元線BL1 -BL2 的位元線解碼器418、耦接至源極線SL1 -SL2 的源極線解碼器420,以及耦接至控制閘極線CGL1 -CGL2 的控制閘極解碼器422。字元線解碼器416經組態以選擇性地對字元線WL1 -WL2 中的一者施加偏壓電壓。同時,位元線解碼器418經組態以選擇性地對位元線BL1 -BL2 中的一者施加偏壓電壓,源極線解碼器420經組態以選擇性地對源極線SL1 -SL2 中的一者施加偏壓電壓,且控制閘極解碼器422經組態以選擇性地對控制閘極線CGL1 -CGL2 中的一者施加偏壓電壓。藉由對字元線WL1 -WL2 、位元線BL1 -BL2 、源極線SL1 -SL2 以及控制閘極線CGL1 -CGL2 中的選擇者施加偏壓電壓,多個FeRAM胞400a-400d可操作以儲存不同的資料狀態。
圖5至圖26示出形成具有嵌入式FeRAM胞的積體晶片的方法的一些實施例的橫截面視圖500至橫截面視圖2600。儘管參考方法描述了圖5至圖26,但應瞭解,圖5至圖26中所揭露的結構不限於此類方法,而反而可單獨作為獨立於所述方法的結構。
如圖5的橫截面視圖500中所繪示,提供基底102。在各種實施例中基底102可包括任何類型的半導體主體(例如,矽/CMOS塊體、SiGe、SOI等),諸如半導體晶圓或晶圓上的一或多個晶粒,以及任何其他類型的半導體及/或形成於其上及/或以其他方式與其相關聯的磊晶層。基底102具有藉由邊界區302b分隔的嵌入式記憶體區302a及邏輯區302c。
第一遮蔽結構501形成於基底102上方。在一些實施例中,第一遮蔽結構501可包括多層遮蔽結構,所述多層遮蔽結構包括第一遮蔽層502及第二遮蔽層504。在一些實施例中,舉例而言,第一遮蔽層502可包括氧化物(例如氧化矽)且第二遮蔽層504可包括氮化物(例如氮化矽)。
如圖6的橫截面視圖600中所繪示,選擇性地圖案化第一遮蔽結構501,使得第一遮蔽結構501覆蓋邏輯區302c且暴露嵌入式記憶體區302a。在一些實施例中,第一遮蔽結構501更覆蓋部分邊界區302b。在一些實施例中,第一遮蔽結構501藉由以下步驟選擇性地圖案化:在第一遮蔽結構501上方形成光阻層604,且隨後使第一遮蔽結構501在未由光阻層604覆蓋的區域中暴露於第一蝕刻劑602。在一些實施例中,在形成光阻層604之前,可將光阻保護氧化物606沉積於部分第一遮蔽結構501的上方。
如圖7的橫截面視圖700中所繪示,在基底102上執行熱氧化製程。熱氧化製程在未由第一遮蔽結構501覆蓋的基底102的表面上形成熱氧化物704。形成熱氧化物704消耗嵌入式記憶體區302a內的部分基底102,藉此在嵌入式記憶體區302a內形成具有基底102的凹入表面101a的凹陷區。凹入表面101a在基底102的上表面101u下方凹陷非零距離d
如圖8的橫截面視圖800中所繪示,移除熱氧化物(圖7的熱氧化物704)。移除熱氧化物(圖7的熱氧化物704)以暴露基底102的凹入表面101a。儘管圖6至圖8使用熱氧化製程使嵌入式記憶體區302a凹入,但應瞭解,在替代性實施例中,可藉由在未由第一遮蔽結構501覆蓋的區中選擇性地蝕刻基底102來使嵌入式記憶體區302a內的基底102凹入。
如圖9的橫截面視圖900中所繪示,襯墊介電層902形成於基底102上方且第一保護層904形成於襯墊介電層902上方。在一些實施例中,襯墊介電層902可包括藉由熱氧化製程形成的氧化物。在一些實施例中,第一保護層904可包括氮化物、碳化物或類似者。在一些實施例中,第一保護層904可借助於沉積製程(例如,物理氣相沉積(physical vapor deposition;PVD)製程、化學氣相沉積(chemical vapor deposition;CVD)製程、電漿增強式化學氣相沉積(plasma enhanced chemical vapor deposition;PE-CVD)製程、原子層沉積(atomic layer deposition;ALD)製程或類似者)形成。
如圖10的橫截面視圖1000中所繪示,多個隔離結構202形成於嵌入式記憶體區302a及邏輯區302c內。邊界隔離結構306亦形成於邊界區302b內。
在一些實施例中,多個隔離結構202及邊界隔離結構306可藉由以下操作形成:選擇性地圖案化襯墊介電層902及第一保護層904,以形成延伸穿過襯墊介電層902及第一保護層904的多個開口。隨後根據所述多個開口來蝕刻基底102以在基底102內形成多個溝渠1002。多個溝渠1002填充有一或多種介電材料。在一些實施例中,一或多種介電材料可借助於沉積製程形成以填充多個溝渠1002且延伸於第一保護層904的最上表面上方。可隨後(沿線1004)執行第一平坦化製程(例如化學機械平坦化製程),以自第一保護層904的最上表面上方移除一或多種介電材料且定義多個隔離結構202及邊界隔離結構306。在一些實施例中,一或多種介電材料可包括氧化物(例如氧化矽)、氮化物及/或類似者。
如圖11的橫截面視圖1100中所繪示,第三遮蔽層1102形成於嵌入式記憶體區302a及部分邊界區302b上方。隨後使未由第三遮蔽層1102覆蓋的隔離結構202及部分邊界隔離結構306暴露於第二蝕刻劑1104。第二蝕刻劑1104使未由第三遮蔽層1102覆蓋的隔離結構202及部分邊界隔離結構306凹入。在一些實施例中,第二蝕刻劑1104賦予邊界隔離結構第一傾斜側壁。第三遮蔽層1102保留邏輯區302c中的第一保護層904,使得隨後可在邏輯區302c中形成邏輯元件期間,在單獨的蝕刻期間移除第一保護層904。此在形成邏輯元件上賦予更多控制,藉此提高與嵌入式記憶體區302a的邏輯元件相容性。
如圖12的橫截面視圖1200中所繪示,自嵌入式記憶體區302a內移除襯墊介電層902及第一保護層904。在一些實施例中,可藉由根據第三遮蔽層(圖11的第三遮蔽層1102)選擇性地蝕刻襯墊介電層902及第一保護層904來移除襯墊介電層902及第一保護層904。
如圖13的橫截面視圖1300中所繪示,界面介電層120形成於嵌入式記憶體區302a內,位於基底102上方。在一些實施例中,界面介電層120可包括藉由熱氧化製程形成的氧化物。在其他實施例中,界面介電層120可包括藉由沉積製程形成的不同介電層(例如氮化物及/或碳化物)。
FeRAM堆疊1301形成於界面介電層120上方,位於嵌入式記憶體區302a、邊界區302b以及邏輯區302c內。FeRAM堆疊1301包括鐵電層1302及鐵電層1302上方的一或多個導電層。在一些實施例中,一或多個導電層可包括蝕刻終止層1304及導電電極層1306。在一些實施例中,鐵電層1302及一或多個導電層可借助於多個單獨的沉積製程(例如,PVD、CVD、PE-CVD、ALD或類似者)形成。
在各種實施例中,鐵電層1302可包括摻矽氧化鉿(Si-doped HfO2 )、鈦酸鉛、鈦酸鋯鉛(PZT)、鈦酸鋯鑭鉛、鉭酸鍶鉍(SBT)、鈦酸鉍鑭(BLT)、鈦酸鉍釹(BNT)或類似者。在一些實施例中,蝕刻終止層1304可包括鋁、釕、鈀、鉿、鋯、鈦或類似者。在一些實施例中,導電電極層1306可包括多晶矽或類似者。
如圖14的橫截面視圖1400中所繪示,選擇性地圖案化FeRAM堆疊(圖13的FeRAM堆疊1301),以在嵌入式記憶體區302a內形成多個FeRAM元件堆疊1402a-1402b。多個FeRAM元件堆疊1402a-1402b分別包括鐵電材料114、蝕刻終止層208以及導電材料210。FeRAM堆疊(圖13的FeRAM堆疊1301)選擇性地蝕刻以亦在邊界區302b及邏輯區302c內形成犧牲虛設堆疊1404。犧牲虛設堆疊1404經組態以藉由為平坦化製程提供結構支撐來增大後續平坦化製程(例如CMP製程)的製程裕度。
在一些實施例中,FeRAM堆疊(圖13的FeRAM堆疊1301)可藉由在FeRAM堆疊上方形成硬罩幕1405來選擇性地圖案化。FeRAM堆疊可隨後暴露於第三蝕刻劑1410,以移除未由硬罩幕1405覆蓋的FeRAM堆疊的區域。在一些實施例中,硬罩幕1405可包括具有第一硬罩幕層1406及第一硬罩幕層1406上方的第二硬罩幕層1408的多層硬罩幕。在一些實施例中,第一硬罩幕層1406可包括介電質,諸如氮化矽、碳化矽或類似者。在一些實施例中,第二硬罩幕層1408可包括氧化矽或類似者。
如圖15的橫截面視圖1500中所繪示,第一側壁間隔件材料212沿多個FeRAM元件堆疊1402a-1402b的相對側壁形成。第二側壁間隔件材料214隨後沿第一側壁間隔件材料212的相對側壁形成。在一些實施例中,第一側壁間隔件材料212及第二側壁間隔件材料214可藉由沉積單獨的間隔件層至多個FeRAM元件堆疊1402a-1402b上來形成。隨後蝕刻單獨的間隔件層以自水平表面移除單獨的間隔件層,留下沿多個FeRAM元件堆疊1402a-1402b的相對側的第一側壁間隔件材料212及第二側壁間隔件材料214。在各種實施例中,單獨的間隔件層可包括氮化矽、二氧化矽(SiO2 )、氮氧化矽(例如,SiON)或類似材料。
選擇閘極層1502形成於基底102上方且沿第二側壁間隔件材料214的側壁形成。在各種實施例中,選擇閘極層1502可包括摻雜多晶矽、金屬或另一導電材料。選擇閘極層1502可形成為一高度,所述高度小於多個FeRAM元件堆疊1402a-1402b的高度。舉例而言,在一些實施例中,可使用用以沉積覆蓋多個FeRAM元件堆疊1402a-1402b的選擇閘極材料(例如摻雜多晶矽)的沉積製程(例如PVD、CVD、ALD、PE-CVD或類似者)形成選擇閘極層1502。隨後將選擇閘極材料回蝕為小於選擇閘極層1502的高度(例如,在約50奈米與約150奈米之間的範圍內的高度)。在一些實施例中,在執行回蝕之前,可形成平坦化層(例如底部層抗反射塗層(bottom layer anti-reflective coating;BARC))以覆蓋選擇閘極材料。平坦化層在多個FeRAM元件堆疊1402a-1402b上方形成平坦的上表面,且使得所得選擇閘極層1502具有鄰近於多個FeRAM元件堆疊1402a-1402b的實質上平的上表面。在一些實施例中,可使用乾式蝕刻製程執行回蝕。
硬罩幕層1504形成於選擇閘極層1502上方。硬罩幕層1504可借助於沉積製程(例如PVD、CVD、ALD、PE-CVD或類似者)形成為在約30奈米與約80奈米之間的範圍內的厚度。在一些實施例中,硬罩幕層1504包括多個FeRAM元件堆疊1402a-1402b正上方的突起1506。在一些實施例中,硬罩幕層1504可包括氮化矽、碳化矽或類似者。
如圖16的橫截面視圖1600中所繪示,選擇性地圖案化硬罩幕層1504且隨後根據硬罩幕層1504來圖案化選擇閘極層(圖15的選擇閘極層1502),以定義沿FeRAM元件堆疊1402a-1402b的第一側配置的選擇閘極112。在一些實施例中,硬罩幕層1504及選擇閘極層(圖15的選擇閘極層1502)可藉由毯覆式(例如未遮蔽)蝕刻來圖案化,所述毯覆式蝕刻從由薄的硬罩幕層1504覆蓋的區域移除選擇閘極層(圖15的選擇閘極層1502)。在一些實施例中,選擇閘極112可具有在約50奈米與約150奈米之間的範圍內的高度h1 ,以及在約30奈米與約80奈米之間的範圍內的寬度w1 。選擇閘極112的高度定義選擇閘極112a的電氣效能(例如,元件漏電流、導通電流(on current)或類似者)。
如圖17的橫截面視圖1700中所繪示,在FeRAM元件堆疊1402a-1402b的第二側之間移除選擇閘極層(圖15的選擇閘極層1502)的其餘部分。在一些實施例中,可藉由光微影製程以及隨後的選擇性蝕刻製程來移除選擇閘極層(圖15的選擇閘極層1502)的其餘部分。舉例而言,遮蔽層(例如光阻層)可形成於基底102上方且具有定義開口的側壁,所述開口在FeRAM元件堆疊1402a-1402b的第二側之間位於選擇閘極層(圖15的選擇閘極層1502)正上方。選擇閘極層(圖15的選擇閘極層1502)隨後根據遮蔽層中的開口暴露於蝕刻劑以移除選擇閘極層(圖15的選擇閘極層1502)的其餘部分。在蝕刻製程完成之後,可移除遮蔽層。
在移除選擇閘極層(圖15的選擇閘極層1502)的其餘部分之後,共同源極區108形成於基底102內,位於FeRAM元件堆疊1402a-1402b的第二側之間。在一些實施例中,藉由選擇性地將摻雜劑物種植入至基底102中來形成共同源極區108。
下部虛設記憶體層312形成於基底102上方。下部虛設記憶體層312在FeRAM元件堆疊1402a-1402b及犧牲虛設堆疊1404上方連續延伸。在一些實施例中,舉例而言,下部虛設記憶體層312可包括氧化物,諸如二氧化矽。在其他實施例中,下部虛設記憶體層312可包括多晶矽。
第四遮蔽層1702形成於基底102上方。第四遮蔽層1702覆蓋嵌入式記憶體區302a、邊界區302b以及邏輯區302c。在一些實施例中,第四遮蔽層1702可具有上表面,所述上表面在嵌入式記憶體區302a的第一高度與邏輯區302c上方的第二高度之間具有曲線。在一些實施例中,第四遮蔽層1702可包括多晶矽層。
如圖18的橫截面視圖1800中所繪示,在第四遮蔽層1702上執行平坦化製程。沿線1802執行平坦化製程,以便形成自嵌入式記憶體區302a上方延伸至邏輯區302c上方的平坦表面。在一些實施例中,平坦化製程可包括CMP製程。
如圖19的橫截面視圖1900中所繪示,選擇性地蝕刻第四遮蔽層1702以自邏輯區302c及部分邊界區302b內移除第四遮蔽層1702。隨後蝕刻邊界隔離結構306以形成第二傾斜側壁306b。第一傾斜側壁及第二傾斜側壁沿邊界隔離結構306的頂部定義小凸起306h。
在一些實施例中,第四遮蔽層1702及邊界隔離結構306可根據形成於嵌入式記憶體區302a及部分邊界區302b上方的第五遮蔽層1904來選擇性地暴露於一或多種蝕刻劑1902。在一些實施例中,可使用相同蝕刻劑選擇性地蝕刻第四遮蔽層1702及邊界隔離結構306。在其他實施例中,可使用第四蝕刻劑選擇性地蝕刻第四遮蔽層1702,且可使用不同於第四蝕刻劑的第五蝕刻劑選擇性地蝕刻邊界隔離結構306。舉例而言,在一些實施例中,可使用包括磷酸(HP3 O4 )或類似者的蝕刻劑選擇性地蝕刻第四遮蔽層1702。可隨後使用包括氫氟酸(hydrofluoric acid;HF)或類似者的濕式蝕刻劑蝕刻邊界隔離結構306。
在一些實施例中,一或多種蝕刻劑1902亦可移除犧牲虛設堆疊(圖18的犧牲虛設堆疊1404)、第一遮蔽層(圖18的第一遮蔽層502)以及第二遮蔽層(圖18的第二遮蔽層504)。在一些實施例中,在移除第一遮蔽層502及第二遮蔽層504之後,界面介電層332可形成於基底102上方,位於邏輯區302c內。在一些實施例中,界面介電層332可包括氧化物(例如氧化矽或類似者)。在一些實施例中,邏輯區302c內的界面介電層332可與嵌入式記憶體區302a內的界面介電層(圖13的界面介電層120)具有不同厚度。在一些實施例中,在移除第一遮蔽層502及第二遮蔽層504之後,井區330亦可形成於基底102內,位於邏輯區302c中。
如圖20的橫截面視圖2000中所繪示,犧牲閘極堆疊2002形成於基底102上方。犧牲閘極堆疊2002自邏輯區302c內連續延伸至嵌入式記憶體區302a內的第四遮蔽層1702上方。在一些實施例中,犧牲閘極堆疊2002可包括閘極介電層316、犧牲閘極電極層2004以及硬罩幕2006。在一些實施例中,蝕刻終止層320可配置於閘極介電層316與犧牲閘極電極層2004之間。在一些實施例中,犧牲閘極電極層2004可包括多晶矽。在一些實施例中,硬罩幕2006可包括具有第一硬罩幕層2008(例如SiN)及第一硬罩幕層2008上方的第二硬罩幕層2010(例如氧化矽)的多層硬罩幕。
在一些實施例中,閘極介電層316可包括高k介電質,諸如氧化鋁、氧化鉿或類似者。在一些實施例中,蝕刻終止層320可包括氮化鉭或類似者。在一些實施例中,第一硬罩幕層2008可包括介電質,諸如氮化矽、碳化矽或類似者。在一些實施例中,第二硬罩幕層2010可包括氧化矽或類似者。
如圖21的橫截面視圖2100中所繪示,犧牲閘極電極層2004的厚度在嵌入式記憶體區302a內及部分邊界區302b內減小。在一些實施例中,犧牲閘極電極層2004的厚度可減小約50%與約75%之間。在一些實施例中,藉由在邏輯區302c及部分邊界區302b內於硬罩幕2006上方形成第六遮蔽層2102來減小犧牲閘極電極層2004的厚度。硬罩幕2006及犧牲閘極電極層2004隨後在未由第六遮蔽層2102覆蓋的區域中暴露於第六蝕刻劑2104。
如圖22的橫截面視圖2200中所繪示,根據圖案化製程來圖案化犧牲閘極堆疊2002,以在邏輯區302c內定義虛設閘極結構2202且在邊界隔離結構上方定義虛設邏輯結構310。在一些實施例中,圖案化製程將豎直且橫向地蝕刻第二硬罩幕層2010。在一些此類實施例中,由於虛設邏輯結構310接近第二硬罩幕層2010的邊緣,使得(在圖案化製程之後)留在虛設邏輯結構310的頂部第二硬罩幕層2010具有以不同角度定向的外側壁。亦蝕刻第四遮蔽層(圖21的第四遮蔽層1702)以在邊界隔離結構306中的小凸起306h上方定義虛設記憶體結構308。
在一些實施例中,第一邏輯側壁間隔件材料334可沿犧牲閘極堆疊2002及虛設記憶體結構308的側壁形成。第三側壁間隔件材料216亦可沿FeRAM元件堆疊1402a-1402b的側壁形成,且第二邏輯側壁間隔件材料336可沿犧牲閘極堆疊2002、虛設記憶體結構308、虛設邏輯結構310以及選擇閘極112的側壁形成。在一些實施例中,第一邏輯側壁間隔件材料334、第二邏輯側壁間隔件材料336以及第三側壁間隔件材料216可藉由以下步驟形成:在基底102上方沉積一或多種介電材料,且隨後蝕刻一或多種介電材料以自水平表面移除所述一或多種介電材料。在一些實施例中,蝕刻一或多種介電材料亦可自第二硬罩幕層2010的面對FeRAM元件堆疊1402a-1402b的側壁移除所述一或多種介電材料。在一些此類實施例中,第一邏輯側壁間隔件材料334及/或第二邏輯側壁間隔件材料336沿虛設邏輯結構310的相對側壁具有不同高度。在一些實施例中,一或多種介電材料可包括氧化物、氮化物、碳化物或類似者。
汲極區106a-106b形成於嵌入式記憶體區302a內,且第二源極區328a及第二汲極區328b形成於邏輯區302c內。在一些實施例中,汲極區106a-106b借助於第一植入製程形成,而第二源極區328a及第二汲極區328b借助於第二植入製程形成。在一些實施例中,第一及第二植入製程為相同的植入製程。在一些實施例中,汲極區106a-106b與共同源極區108具有相同摻雜類型,而第二源極區328a及第二汲極區328b與井區330具有相反的摻雜類型。
執行矽化製程以沿汲極區106a-106b、共同源極區108、第二源極區328a以及第二汲極區328b的上表面形成矽化物層204。在一些實施例中,矽化製程亦可在導電電極116及/或選擇閘極112上形成矽化物。在一些實施例中,可藉由沉積金屬層(例如鎳層)且接著執行熱退火製程(例如快速熱退火)來執行矽化製程以形成矽化物層204。
如圖23的橫截面視圖2300中所繪示,第一層間介電(ILD)層122a形成於基底102上方。第一ILD層122a橫向包圍多個FeRAM元件堆疊(圖22的FeRAM元件堆疊1402a-1402b)、虛設記憶體結構308、虛設邏輯結構310以及虛設閘極結構2202。在各種實施例中,第一ILD層122a可藉由使用高縱橫比製程(亦即HARP氧化物)的化學氣相沉積(CVD)來沉積至基底102上。舉例而言,在一些實施例中,第一ILD層122a可包括藉由CVD製程沉積的氧化物或矽酸硼磷玻璃。在形成第一ILD層122a之後,可沿線2302執行第四平坦化製程以暴露邏輯區302c內的虛設閘極結構2202的上表面,以定義嵌入式記憶體區302a內的FeRAM胞104a-104b。
如圖24的橫截面視圖2400中所繪示,自虛設閘極結構(圖23的虛設閘極結構2004)移除犧牲閘極電極層(圖23的犧牲閘極電極層2004)以定義閘極電極腔2402。在一些實施例中,可藉由使犧牲閘極電極層(圖23的犧牲閘極電極層2004)選擇性地暴露於第七蝕刻劑2404來移除犧牲閘極電極層(圖23的犧牲閘極電極層2004)。
如圖25的橫截面視圖2500中所繪示,閘極電極326形成於閘極電極腔2402內。在一些實施例中,可藉由在閘極電極腔2402內及第一ILD層122a上方形成一或多種金屬閘極材料2502來形成閘極電極326。在一些實施例中,可使用沉積製程(例如PVD、CVD、ALD、PE-CVD或類似者)形成一或多種金屬閘極材料2502。隨後沿線2504執行第五平坦化製程。第五平坦化製程自第一ILD層122a上方移除一或多種金屬閘極材料2502的一部分以定義閘極電極326。在一些實施例中,一或多種金屬閘極材料2502可包括n型閘極金屬,諸如鋁、鉭、鈦、鉿、鋯、矽化鈦、氮化鉭、氮化矽鉭、鉻、鎢、銅、鈦鋁或類似者。在其他實施例中,一或多種金屬閘極材料2502可包括p型閘極金屬,諸如鎳、鈷、鉬、鉑、鉛、金、氮化鉭、矽化鉬、釕、鉻、鎢、銅或類似者。
如圖26的橫截面視圖2600中所繪示,導電接觸件124形成於上覆第一ILD層122a的第二ILD層122b內。導電內連線電線亦形成於上覆第二ILD層122b的IMD層122c內。
在一些實施例中,可使用金屬鑲嵌製程(damascene process)形成導電接觸件124及/或導電內連線電線220。舉例而言,在一些實施例中,導電接觸件124可藉由以下步驟形成:在第一ILD層122a上方形成第二ILD層122b,選擇性地蝕刻第二ILD層122b以形成介層窗孔,且隨後在介層窗孔內沉積第一導電材料。在一些實施例中,舉例而言,第一導電材料可包括鎢(W)或氮化鈦(TiN)。類似地,在一些實施例中,導電內連線電線220可藉由以下步驟形成:在第二ILD層122b上方形成第三ILD層122c,選擇性地蝕刻IMD層122c以形成溝渠,且隨後在溝渠內沉積第二導電材料。在一些實施例中,舉例而言,第二導電材料可包括銅(Cu)及/或鋁(Al)。
圖27示出形成具有嵌入式FeRAM胞的積體晶片的方法2700的一些實施例的流程圖。
雖然方法2700在下文中示出及描述為一系列動作或事件,但應瞭解,不應以限制性意義來解譯此類動作或事件的所示出次序。舉例而言,除本文中所示出及/或所描述的動作或事件之外,一些動作可與其他動作或事件以不同次序及/或同時發生。另外,可能並不需要所有所示出動作來實施本文中的描述的一或多個態樣或實施例。另外,本文中所描繪的動作中的一或多者可以一或多個單獨動作及/或階段進行。
在動作2702處,提供基底。基底具有藉由邊界區與邏輯區分隔的嵌入式記憶體區。圖5示出對應於動作2702的一些實施例的橫截面視圖500。
在動作2704處,使嵌入式記憶體區內的基底凹入。圖6至圖8示出對應於動作2704的一些實施例的橫截面視圖600至橫截面視圖800。
在動作2706處,多個隔離結構形成於嵌入式記憶體區及邏輯區內。圖9至圖12示出對應於動作2706的一些實施例的橫截面視圖900至橫截面視圖1200。
在動作2708處,邊界隔離結構形成於邊界區內。圖9至圖12示出對應於動作2708的一些實施例的橫截面視圖900至橫截面視圖1200。
在動作2710處,多個記憶體元件堆疊形成於嵌入式記憶體區內。圖13至圖14示出對應於動作2710的一些實施例的橫截面視圖1300至橫截面視圖1400。
在動作2712處,選擇閘極沿記憶體元件堆疊的側壁形成。圖15至圖16示出對應於動作2712的一些實施例的橫截面視圖1500至橫截面視圖1600。
在動作2714處,遮蔽層形成於嵌入式記憶體區上方。遮蔽層暴露邏輯區及部分嵌入式記憶體區。圖17至圖18示出對應於動作2714的一些實施例的橫截面視圖1700至橫截面視圖1800。
在動作2716處,根據遮蔽層使邊界隔離結構圖案化。圖19示出對應於動作2716的一些實施例的橫截面視圖1900。
在動作2718處,犧牲電晶體堆疊形成於遮蔽層上方及邏輯區內。犧牲電晶體堆疊包括犧牲閘極電極。圖20至圖22示出對應於動作2718的一些實施例的橫截面視圖2000至橫截面視圖2200。
在動作2720處,使犧牲閘極堆疊圖案化以在邏輯區內定義犧牲電晶體堆疊且在邊界隔離結構上方定義虛設記憶體結構及虛設邏輯結構。圖20至圖22示出對應於動作2720的一些實施例的橫截面視圖2000至橫截面視圖2200。
在動作2722處,在基底上方沉積第一層間介電(ILD)層。圖23示出對應於動作2722的一些實施例的橫截面視圖2300。
在動作2724處,執行平坦化製程以暴露犧牲閘極堆疊內的犧牲閘極電極的頂部。圖23示出對應於動作2724的一些實施例的橫截面視圖2300。
在動作2726處,用金屬閘極替換犧牲閘極電極。圖24至圖25示出對應於動作2726的一些實施例的橫截面視圖2400至橫截面視圖2500。
在動作2728處,導電接觸件形成於基底上方的第二ILD層內。圖26示出對應於動作2728的一些實施例的橫截面視圖2600。
因此,在一些實施例中,本揭露內容是關於一種具有嵌入式FeRAM胞的積體晶片,所述嵌入式FeRAM胞包括經組態以選擇性地對FeRAM元件提供存取的選擇閘極。選擇閘極在未經選擇的FeRAM胞的通道區中提供相對較低的電流,藉此改良功耗及/或FeRAM陣列的讀取操作。
在一些實施例中,本揭露內容是關於一種記憶體結構。記憶體結構包含:源極區及汲極區,安置於基底內;選擇閘極,安置於基底上方,位於源極區與汲極區之間;以及鐵電隨機存取記憶體(FeRAM)元件,安置於基底上方,位於選擇閘極與源極區之間,FeRAM元件包含配置於基底與導電電極之間的鐵電材料。在一些實施例中,選擇閘極及導電電極包含多晶矽。在一些實施例中,導電電極具有接觸鐵電材料的上表面的蝕刻終止層。在一些實施例中,記憶體結構更包含配置於選擇閘極與FeRAM元件之間的側壁間隔件。在一些實施例中,側壁間隔件包含連續延伸以直接接觸且完全覆蓋導電電極的側壁及鐵電材料的側壁的介電材料。在一些實施例中,記憶體結構更包含自選擇閘極與基底之間連續延伸至鐵電材料與基底之間的界面介電層。在一些實施例中,界面介電層在鐵電材料正下方具有第一厚度且在選擇閘極正下方具有第二厚度;第一厚度不同於第二厚度。在一些實施例中,記憶體結構更包含:層間介電(ILD)層,配置於基底上方;第一導電接觸件,自ILD層的頂部延伸至選擇閘極;以及第二導電接觸件,自ILD層的頂部延伸至導電電極。在一些實施例中,基底具有於基底的第一側壁與第二側壁之間延伸的凹入表面以定義基底的上表面內的凹陷區;FeRAM元件配置於凹入表面上方且直接位於第一側壁與第二側壁之間。在一些實施例中,記憶體結構更包含:字元線解碼器,藉由字元線耦接至選擇閘極;以及控制閘極解碼器,藉由平行於字元線延伸的控制閘極線耦接至導電電極。
在其他實施例中,本揭露內容是關於一種積體晶片。積體晶片包含:共同源極區,安置於基底的凹入表面內,位於第一汲極區與第二汲極區之間,凹入表面在基底的上表面下方凹入非零距離;邊界隔離結構,橫向配置於凹入表面與上表面之間;第一鐵電隨機存取記憶體(FeRAM)胞,具有:第一選擇閘極,安置於凹入表面上方,位於共同源極區與第一汲極區之間;以及第一FeRAM元件,安置於凹入表面上方,位於第一選擇閘極與共同源極區之間;以及第二FeRAM胞,具有:第二選擇閘極,安置於凹入表面上方,位於共同源極區與第二汲極區之間;以及第二FeRAM元件,安置於凹入表面上方,位於第二選擇閘極與共同源極區之間。在一些實施例中,第一FeRAM元件包含藉由蝕刻終止層與鐵電材料分隔的導電材料。在一些實施例中,第一FeRAM元件及第一選擇閘極包含相同材料。在一些實施例中,第一FeRAM元件包含鐵電材料及安置於鐵電材料上方的導電電極。在一些實施例中,第一選擇閘極耦接至字元線且導電電極耦接至控制閘極線,且所述控制閘極線經組態以獨立於所述字元線施加偏壓。在一些實施例中,積體晶片更包含橫向安置於第一選擇閘極與第一FeRAM元件之間的側壁間隔件。在一些實施例中,側壁間隔件包含:第一側壁間隔件材料,直接接觸鐵電材料及導電電極;以及第二側壁間隔件材料,直接接觸第一選擇閘極,第一側壁間隔件材料及第二側壁間隔件材料具有實質上相等的高度。在一些實施例中,側壁間隔件自沿第一FeRAM元件的底部延伸的第一水平平面延伸至沿第一FeRAM元件的頂部延伸的第二水平平面。
在另外其他實施例中,本揭露內容是關於一種形成記憶體結構的方法。方法包含:在基底上方形成界面介電層;在界面介電層上方沉積鐵電隨機存取記憶體(FeRAM)堆疊,FeRAM堆疊具有鐵電層及鐵電層上方的一或多個導電層;使FeRAM堆疊圖案化以定義FeRAM元件堆疊;形成橫向包圍FeRAM元件堆疊的選擇閘極層;使選擇閘極層圖案化以沿FeRAM元件堆疊的第二側定義選擇閘極;沿FeRAM元件堆疊的第一側在基底內形成共同源極區;以及在基底內形成汲極區,其中汲極區藉由選擇閘極與FeRAM元件堆疊分隔。在一些實施例中,方法更包含:使基底的一部分凹入,以形成基底的在基底的上表面下方凹陷的凹入表面,FeRAM元件堆疊及選擇閘極形成於凹入表面正上方。
前文概述若干實施例的特徵,以使所屬領域中具通常知識者可更好地理解本揭露內容的態樣。所屬領域中具通常知識者應理解,其可易於使用本揭露內容作為設計或修改用於實現本文中所引入的實施例的相同目的及/或達成相同優點的其他製程及結構的基礎。所屬領域中具通常知識者亦應認識到,此類等效構造並不脫離本揭露內容的精神及範圍,且所屬領域中具通常知識者可在不脫離本揭露內容的精神及範圍的情況下在本文中作出各種改變、替代以及更改。
100、200、410‧‧‧記憶體結構 101a‧‧‧凹入表面 101u‧‧‧上表面 102‧‧‧基底 104a‧‧‧第一鐵電隨機存取記憶體胞 104b‧‧‧第二鐵電隨機存取記憶體胞 106a‧‧‧第一汲極區 106b‧‧‧第二汲極區 108‧‧‧共同源極區 110‧‧‧鐵電隨機存取記憶體元件 112‧‧‧選擇閘極 114‧‧‧鐵電材料 116‧‧‧導電電極 118a‧‧‧第一側壁間隔件 118b‧‧‧第二側壁間隔件 118c‧‧‧第三側壁間隔件 120、332‧‧‧界面介電層 122‧‧‧層間介電結構 122a‧‧‧第一層間介電層 122b‧‧‧第二層間介電層 122c‧‧‧金屬間介電層 124‧‧‧導電接觸件 126‧‧‧通道區 202‧‧‧隔離結構 204‧‧‧矽化物層 208、320、1304‧‧‧蝕刻終止層 210‧‧‧導電材料 212‧‧‧第一側壁間隔件材料 214‧‧‧第二側壁間隔件材料 216‧‧‧第三側壁間隔件材料 218‧‧‧接觸蝕刻終止層 220‧‧‧導電內連線電線 222、400、400a-400d‧‧‧鐵電隨機存取記憶體胞 224、1004、1802、2302、2504‧‧‧線 300‧‧‧積體晶片 302a‧‧‧嵌入式記憶體區 302b‧‧‧邊界區 302c‧‧‧邏輯區 304‧‧‧邊界結構 306‧‧‧邊界隔離結構 306a‧‧‧第一傾斜側壁 306b‧‧‧第二傾斜側壁 306h‧‧‧小凸起 308‧‧‧虛設記憶體結構 310‧‧‧虛設邏輯結構 312‧‧‧下部虛設記憶體層 314‧‧‧上部虛設記憶體層 316‧‧‧閘極介電層 322‧‧‧上部虛設邏輯層 324‧‧‧電晶體元件 326‧‧‧閘極電極 328a‧‧‧第二源極區 328b‧‧‧第二汲極區 330‧‧‧井區 334‧‧‧第一邏輯側壁間隔件材料 336‧‧‧第二邏輯側壁間隔件材料 412‧‧‧記憶體陣列 414‧‧‧控制電路 416‧‧‧字元線解碼器 418‧‧‧位元線解碼器 420‧‧‧源極線解碼器 422‧‧‧控制閘極解碼器 500、600、700、800、900、1000、1100、1200、1300、1400、1500、1600、1700、1800、1900、2000、2100、2200、2300、2400、2500、2600‧‧‧橫截面視圖 501‧‧‧第一遮蔽結構 502‧‧‧第一遮蔽層 504‧‧‧第二遮蔽層 602‧‧‧第一蝕刻劑 604‧‧‧光阻層 606‧‧‧光阻保護氧化物 704‧‧‧熱氧化物 902‧‧‧襯墊介電層 904‧‧‧第一保護層 1002‧‧‧溝渠 1102‧‧‧第三遮蔽層 1104‧‧‧第二蝕刻劑 1301‧‧‧鐵電隨機存取記憶體堆疊 1302‧‧‧鐵電層 1306‧‧‧導電電極層 1402a-1402b‧‧‧鐵電隨機存取記憶體元件堆疊 1404‧‧‧犧牲虛設堆疊 1405、2006‧‧‧硬罩幕 1406、2008‧‧‧第一硬罩幕層 1408、2010‧‧‧第二硬罩幕層 1502‧‧‧選擇閘極層 1504‧‧‧硬罩幕層 1506‧‧‧突起 1702‧‧‧第四遮蔽層 1902‧‧‧蝕刻劑 1904‧‧‧第五遮蔽層 2002‧‧‧犧牲閘極堆疊 2004‧‧‧犧牲閘極電極層 2102‧‧‧第六遮蔽層 2104‧‧‧第六蝕刻劑 2202‧‧‧虛設閘極結構 2402‧‧‧閘極電極腔 2404‧‧‧第七蝕刻劑 2502‧‧‧金屬閘極材料 2700‧‧‧方法 2702、2704、2706、2708、2710、2712、2714、2716、2718、2720、2722、2724、2726、2728‧‧‧動作BL1 BL2 ‧‧‧位元線CGL1 CGL2 ‧‧‧控制閘極線SL1 SL2 ‧‧‧源極線WL1 WL2 ‧‧‧字元線d‧‧‧非零距離t1 ‧‧‧第一厚度t2 ‧‧‧第二厚度 α、β‧‧‧角度
結合隨附圖式閱讀以下具體實施方式時會最佳地理解本揭露內容的態樣。應注意,根據業界中的標準慣例,各種特徵未按比例繪製。事實上,可出於論述清楚起見而任意地增大或減小各種特徵的尺寸。 圖1示出具有鐵電隨機存取記憶體(FeRAM)胞的記憶體結構的一些實施例的橫截面視圖。 圖2A示出具有FeRAM胞的記憶體結構的一些額外實施例的橫截面視圖。 圖2B示出FeRAM胞的一些替代性實施例的橫截面視圖。 圖3示出具有嵌入式FeRAM胞的積體晶片的一些實施例的橫截面視圖。 圖4A示出所揭露的FeRAM胞的一些實施例的示意圖。 圖4B示出具有多個FeRAM胞的記憶體結構的一些實施例的示意圖。 圖5至圖26示出形成具有嵌入式FeRAM胞的積體晶片的方法的一些實施例的橫截面視圖。 圖27示出形成具有嵌入式FeRAM胞的積體晶片的方法的一些實施例的流程圖。
100‧‧‧記憶體結構
102‧‧‧基底
104a‧‧‧第一鐵電隨機存取記憶體胞
104b‧‧‧第二鐵電隨機存取記憶體胞
106a‧‧‧第一汲極區
106b‧‧‧第二汲極區
108‧‧‧共同源極區
110‧‧‧鐵電隨機存取記憶體元件
112‧‧‧選擇閘極
114‧‧‧鐵電材料
116‧‧‧導電電極
118a‧‧‧第一側壁間隔件
118b‧‧‧第二側壁間隔件
118c‧‧‧第三側壁間隔件
120‧‧‧界面介電層
122‧‧‧層間介電結構
124‧‧‧導電接觸件
126‧‧‧通道區

Claims (20)

  1. 一種記憶體結構,包括: 源極區及汲極區,安置於基底內; 選擇閘極,安置於所述基底上方,位於所述源極區與所述汲極區之間;以及 鐵電隨機存取記憶體元件,安置於所述基底上方,位於所述選擇閘極與所述源極區之間,其中所述鐵電隨機存取記憶體元件包括配置於所述基底與導電電極之間的鐵電材料。
  2. 如申請專利範圍第1項所述的記憶體結構,其中所述選擇閘極及所述導電電極包括多晶矽。
  3. 如申請專利範圍第1項所述的記憶體結構,其中所述導電電極包括接觸所述鐵電材料的上表面的蝕刻終止層。
  4. 如申請專利範圍第1項所述的記憶體結構,更包括: 側壁間隔件,配置於所述選擇閘極與所述鐵電隨機存取記憶體元件之間。
  5. 如申請專利範圍第4項所述的記憶體結構,其中所述側壁間隔件包括介電材料,所述介電材料連續延伸以直接接觸且完全覆蓋所述導電電極的側壁及所述鐵電材料的側壁。
  6. 如申請專利範圍第1項所述的記憶體結構,更包括: 界面介電層,自所述選擇閘極與所述基底之間連續延伸至所述鐵電材料與所述基底之間。
  7. 如申請專利範圍第6項所述的記憶體結構, 其中所述界面介電層在所述鐵電材料正下方具有第一厚度且在所述選擇閘極正下方具有第二厚度;且 其中所述第一厚度不同於所述第二厚度。
  8. 如申請專利範圍第1項所述的記憶體結構,更包括: 層間介電層,配置於所述基底上方; 第一導電接觸件,自所述層間介電層的頂部延伸至所述選擇閘極;以及 第二導電接觸件,自所述層間介電層的所述頂部延伸至所述導電電極。
  9. 如申請專利範圍第1項所述的記憶體結構, 其中所述基底具有於所述基底的第一側壁與第二側壁之間延伸的凹入表面以定義所述基底的上表面內的凹陷區;且 其中所述鐵電隨機存取記憶體元件配置於所述凹入表面上方且直接位於所述第一側壁與所述第二側壁之間。
  10. 如申請專利範圍第1項所述的記憶體結構,更包括: 字元線解碼器,藉由字元線耦接至所述選擇閘極;以及 控制閘極解碼器,藉由平行於所述字元線延伸的控制閘極線耦接至所述導電電極。
  11. 一種積體晶片,包括: 共同源極區,安置於基底的凹入表面內,位於第一汲極區與第二汲極區之間,其中所述凹入表面在所述基底的上表面下方凹入非零距離; 邊界隔離結構,橫向配置於所述凹入表面與所述上表面之間; 第一鐵電隨機存取記憶體胞,包括:第一選擇閘極,安置於所述凹入表面上方,位於所述共同源極區與所述第一汲極區之間;以及第一鐵電隨機存取記憶體元件,安置於所述凹入表面上方,位於所述第一選擇閘極與所述共同源極區之間;以及 第二鐵電隨機存取記憶體胞,包括:第二選擇閘極,安置於所述凹入表面上方,位於所述共同源極區與所述第二汲極區之間;以及第二鐵電隨機存取記憶體元件,安置於所述凹入表面上方,位於所述第二選擇閘極與所述共同源極區之間。
  12. 如申請專利範圍第11項所述的積體晶片,其中所述第一鐵電隨機存取記憶體元件包括藉由蝕刻終止層與鐵電材料分隔的導電材料。
  13. 如申請專利範圍第11項所述的積體晶片,其中所述第一鐵電隨機存取記憶體元件及所述第一選擇閘極包括相同材料。
  14. 如申請專利範圍第11項所述的積體晶片,其中所述第一鐵電隨機存取記憶體元件包括鐵電材料及安置於所述鐵電材料上方的導電電極。
  15. 如申請專利範圍第14項所述的積體晶片,其中所述第一選擇閘極耦接至字元線且所述導電電極耦接至控制閘極線,且所述控制閘極線經組態以獨立於所述字元線施加偏壓。
  16. 如申請專利範圍第11項所述的積體晶片,更包括: 側壁間隔件,橫向安置於所述第一選擇閘極與所述第一鐵電隨機存取記憶體元件之間。
  17. 如申請專利範圍第16項所述的積體晶片, 其中所述側壁間隔件包括: 第一側壁間隔件材料,直接接觸所述鐵電材料及所述導電電極;以及 第二側壁間隔件材料,直接接觸所述第一選擇閘極, 其中所述第一側壁間隔件材料及所述第二側壁間隔件材料具有實質上相等的高度。
  18. 如申請專利範圍第17項所述的積體晶片,其中所述側壁間隔件自沿所述第一鐵電隨機存取記憶體元件的底部延伸的第一水平平面延伸至沿所述第一鐵電隨機存取記憶體元件的頂部延伸的第二水平平面。
  19. 一種形成記憶體結構的方法,包括: 在基底上方形成界面介電層; 在所述界面介電層上方沉積鐵電隨機存取記憶體堆疊,其中所述鐵電隨機存取記憶體堆疊包括鐵電層及所述鐵電層上方的一或多個導電層; 使所述鐵電隨機存取記憶體堆疊圖案化以定義鐵電隨機存取記憶體元件堆疊; 形成橫向包圍所述鐵電隨機存取記憶體元件堆疊的選擇閘極層; 使所述選擇閘極層圖案化以沿所述鐵電隨機存取記憶體元件堆疊的第二側定義選擇閘極; 沿所述鐵電隨機存取記憶體元件堆疊的第一側在所述基底內形成共同源極區;以及 在所述基底內形成汲極區,其中所述汲極區藉由所述選擇閘極與所述鐵電隨機存取記憶體元件堆疊分隔。
  20. 如申請專利範圍第19項所述的形成記憶體結構的方法,更包括: 使所述基底的一部分凹入,以形成所述基底的在所述基底的上表面下方凹陷的凹入表面,其中所述鐵電隨機存取記憶體元件堆疊及所述選擇閘極形成於所述凹入表面正上方。
TW108116019A 2018-08-29 2019-05-09 積體晶片、記憶體結構及其形成方法 TWI701809B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862724289P 2018-08-29 2018-08-29
US62/724,289 2018-08-29
US16/267,668 2019-02-05
US16/267,668 US10930333B2 (en) 2018-08-29 2019-02-05 Embedded ferroelectric memory cell

Publications (2)

Publication Number Publication Date
TW202010102A true TW202010102A (zh) 2020-03-01
TWI701809B TWI701809B (zh) 2020-08-11

Family

ID=69640150

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108116019A TWI701809B (zh) 2018-08-29 2019-05-09 積體晶片、記憶體結構及其形成方法

Country Status (3)

Country Link
US (2) US10930333B2 (zh)
CN (1) CN110875333B (zh)
TW (1) TWI701809B (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI737565B (zh) * 2020-06-19 2021-08-21 台灣積體電路製造股份有限公司 記憶體陣列及其製造方法
US11355516B2 (en) 2020-07-16 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
US11423966B2 (en) 2020-07-30 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array staircase structure
US20220351769A1 (en) * 2018-08-29 2022-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded ferroelectric memory cell
US11532640B2 (en) 2020-05-29 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a three-dimensional memory
US11640974B2 (en) 2020-06-30 2023-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array isolation structures
US11647634B2 (en) 2020-07-16 2023-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
TWI807270B (zh) * 2020-05-29 2023-07-01 台灣積體電路製造股份有限公司 記憶胞、半導體元件及形成半導體元件的方法
US11695073B2 (en) 2020-05-29 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array gate structures
US11710790B2 (en) 2020-05-29 2023-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Memory array channel regions
US11729987B2 (en) 2020-06-30 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Memory array source/drain electrode structures
US11915736B2 (en) 2021-11-04 2024-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric memory operation bias and power domains

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10720487B2 (en) 2018-06-28 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with magnetic element
US10930333B2 (en) * 2018-08-29 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded ferroelectric memory cell
KR20210017091A (ko) * 2019-08-06 2021-02-17 에스케이하이닉스 주식회사 강유전 유도층을 포함하는 강유전 메모리 장치
DE102020127584A1 (de) * 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Dreidimensionale speichervorrichtung mit ferroelektrischemmaterial
US20210375990A1 (en) * 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Back-end-of-line selector for memory device
DE102020128720B4 (de) * 2020-05-29 2023-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Speichervorrichtung und verfahren zum bilden einer speichervorrichtung
US11482571B2 (en) * 2020-06-23 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Memory array with asymmetric bit-line architecture
US11706928B2 (en) 2020-10-30 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method for fabricating the same
US11443792B1 (en) * 2021-08-12 2022-09-13 Ferroelectric Memory Gmbh Memory cell, memory cell arrangement, and methods thereof

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3144599B2 (ja) 1992-09-30 2001-03-12 ローム株式会社 半導体装置、その製造方法、および使用方法
JP3144597B2 (ja) 1992-09-30 2001-03-12 ローム株式会社 強誘電体不揮発性メモリの構造および製造方法
US5541870A (en) 1994-10-28 1996-07-30 Symetrix Corporation Ferroelectric memory and non-volatile memory cell for same
JP2001168296A (ja) 1999-12-14 2001-06-22 Matsushita Electronics Industry Corp 不揮発性記憶装置およびその駆動方法
KR100406536B1 (ko) 2001-03-28 2003-11-20 주식회사 하이닉스반도체 산소확산방지막으로서 알루미늄 산화막을 구비하는강유전체 메모리 소자 및 그 제조 방법
US6960801B2 (en) * 2001-06-14 2005-11-01 Macronix International Co., Ltd. High density single transistor ferroelectric non-volatile memory
KR100355662B1 (ko) 2001-08-25 2002-10-11 최웅림 반도체 비휘발성 메모리 및 어레이 그리고 그것의 동작 방법
US6587367B1 (en) * 2002-03-19 2003-07-01 Texas Instruments Incorporated Dummy cell structure for 1T1C FeRAM cell array
US7419895B2 (en) * 2003-10-23 2008-09-02 Micron Technology, Inc. NAND memory arrays
JP2010123590A (ja) 2008-11-17 2010-06-03 Toshiba Corp 半導体記憶装置
KR20100080190A (ko) * 2008-12-31 2010-07-08 주식회사 동부하이텍 플래시메모리 소자 및 그 제조 방법
KR101188551B1 (ko) 2009-12-16 2012-10-10 주식회사 동부하이텍 플래시 메모리 소자 및 플래시 메모리 소자의 제조 방법
US20130264620A1 (en) * 2012-04-06 2013-10-10 Texas Instruments Incorporated Integrated circuit having ferroelectric memory with dense via barrier
US9768181B2 (en) * 2014-04-28 2017-09-19 Micron Technology, Inc. Ferroelectric memory and methods of forming the same
US9679909B2 (en) * 2015-06-25 2017-06-13 Taiwan Samiconductor Manufacturing Co., Ltd. Method for manufacturing a finger trench capacitor with a split-gate flash memory cell
US9460770B1 (en) 2015-09-01 2016-10-04 Micron Technology, Inc. Methods of operating ferroelectric memory cells, and related ferroelectric memory cells
US10090036B2 (en) * 2015-12-21 2018-10-02 Imec Vzw Non-volatile memory cell having pinch-off ferroelectric field effect transistor
US9831262B2 (en) * 2015-12-30 2017-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded HKMG non-volatile memory
US10636471B2 (en) * 2016-04-20 2020-04-28 Micron Technology, Inc. Memory arrays, ferroelectric transistors, and methods of reading and writing relative to memory cells of memory arrays
US9852785B2 (en) * 2016-05-27 2017-12-26 Taiwan Semiconductor Manufacturing Company Limited Memories with metal-ferroelectric-semiconductor (MFS) transistors
US20190237470A1 (en) * 2018-01-31 2019-08-01 Sandisk Technologies Llc Vertical 1t ferroelectric memory cells, memory arrays and methods of forming the same
KR102578816B1 (ko) * 2018-03-16 2023-09-15 에스케이하이닉스 주식회사 강유전성 메모리 장치
JP7123622B2 (ja) * 2018-05-18 2022-08-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US10930333B2 (en) * 2018-08-29 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded ferroelectric memory cell
DE102019104255B4 (de) * 2018-08-29 2023-03-16 Taiwan Semiconductor Manufacturing Co. Ltd. Speicherstruktur mit FeRAM-Vorrichtung und Verfahren zu deren Herstellung sowie ein integrierter Chip mit einer ersten FeRAM-Zelle und einer zweiten FeRAM-Zelle
US11723213B2 (en) * 2018-09-28 2023-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structures pertaining to improved ferroelectric random-access memory (FeRAM)
US11309353B2 (en) * 2020-04-30 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer-defined back-end transistor as memory selector

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220351769A1 (en) * 2018-08-29 2022-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded ferroelectric memory cell
US11869564B2 (en) * 2018-08-29 2024-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded ferroelectric memory cell
TWI807270B (zh) * 2020-05-29 2023-07-01 台灣積體電路製造股份有限公司 記憶胞、半導體元件及形成半導體元件的方法
US11532640B2 (en) 2020-05-29 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a three-dimensional memory
US11710790B2 (en) 2020-05-29 2023-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Memory array channel regions
US11695073B2 (en) 2020-05-29 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array gate structures
TWI737565B (zh) * 2020-06-19 2021-08-21 台灣積體電路製造股份有限公司 記憶體陣列及其製造方法
US11404091B2 (en) 2020-06-19 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array word line routing
US11640974B2 (en) 2020-06-30 2023-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array isolation structures
US11729987B2 (en) 2020-06-30 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Memory array source/drain electrode structures
US11647634B2 (en) 2020-07-16 2023-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
US11355516B2 (en) 2020-07-16 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
US11903216B2 (en) 2020-07-16 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
US11423966B2 (en) 2020-07-30 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array staircase structure
US11776602B2 (en) 2020-07-30 2023-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array staircase structure
US11915736B2 (en) 2021-11-04 2024-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric memory operation bias and power domains

Also Published As

Publication number Publication date
US20210174856A1 (en) 2021-06-10
US10930333B2 (en) 2021-02-23
US11437084B2 (en) 2022-09-06
US20200075075A1 (en) 2020-03-05
TWI701809B (zh) 2020-08-11
CN110875333A (zh) 2020-03-10
CN110875333B (zh) 2023-12-26

Similar Documents

Publication Publication Date Title
TWI701809B (zh) 積體晶片、記憶體結構及其形成方法
US9716097B2 (en) Techniques to avoid or limit implant punch through in split gate flash memory devices
JP6338631B2 (ja) スプリットゲートフラッシュ技術におけるインターディジテートキャパシタ
US9431413B2 (en) STI recess method to embed NVM memory in HKMG replacement gate technology
US11869564B2 (en) Embedded ferroelectric memory cell
TWI674663B (zh) 積體晶片及其形成方法
TWI732236B (zh) 積體晶片及形成積體晶片的方法
TW202042347A (zh) 鐵電記憶體裝置、積體晶片、和用於形成鐵電記憶體裝置的方法
US11515332B2 (en) Ferroelectric memory device and method of forming the same
US10074560B2 (en) Method of manufacturing semiconductor device
US20220359570A1 (en) Ferroelectric memory device and method of forming the same
US20230063038A1 (en) Memory Device and Method of Forming Thereof
TWI810838B (zh) 電晶體及其形成方法
US11705516B2 (en) Polarization enhancement structure for enlarging memory window
TWI779769B (zh) 積體晶片、記憶體裝置及其形成方法
TWI826908B (zh) 積體晶片及其形成方法
TWI836265B (zh) 集成晶片及其形成方法
JP2024018952A (ja) ブロッキング層を有する強誘電体メモリ装置