US20170133396A1 - Memory Devices and Method of Fabricating Same - Google Patents

Memory Devices and Method of Fabricating Same Download PDF

Info

Publication number
US20170133396A1
US20170133396A1 US15/413,256 US201715413256A US2017133396A1 US 20170133396 A1 US20170133396 A1 US 20170133396A1 US 201715413256 A US201715413256 A US 201715413256A US 2017133396 A1 US2017133396 A1 US 2017133396A1
Authority
US
United States
Prior art keywords
gate structure
layer
spacer
memory gate
memory
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US15/413,256
Other versions
US10665600B2 (en
Inventor
Chang-Ming Wu
Wei Cheng Wu
Shih-Chang Liu
Harry-Hak-Lay Chuang
Chia-Shiung Tsai
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US15/413,256 priority Critical patent/US10665600B2/en
Publication of US20170133396A1 publication Critical patent/US20170133396A1/en
Priority to US16/869,780 priority patent/US11348935B2/en
Application granted granted Critical
Publication of US10665600B2 publication Critical patent/US10665600B2/en
Priority to US17/826,503 priority patent/US20220285382A1/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • H01L27/11568
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • H01L29/42344Gate electrodes for transistors with charge trapping gate insulator with at least one additional gate, e.g. program gate, erase gate or select gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators

Definitions

  • Non-volatile memories include random access memory (RAM), which can be further divided into two sub-categories, static random access memory (SRAM) and dynamic random access memory (DRAM). Both SRAM and DRAM are volatile because they will lose the information they store when they are not powered. On the other hand, non-volatile memories can keep data stored on them.
  • RAM random access memory
  • SRAM static random access memory
  • DRAM dynamic random access memory
  • Non-volatile memories include a variety of sub-categories, such as read-only-memory (ROM), electrically erasable programmable read-only memory (EEPROM) and flash memory.
  • Flash memories have become increasingly popular in recent years.
  • a typical flash memory comprises a memory array having a large number of memory cells arranged in rows and columns.
  • Each of the memory cells is fabricated as a field-effect transistor having a drain region, a source region, a control gate and a floating gate.
  • the floating gate is disposed above a substrate.
  • the floating gate is between the source region and the drain region, but separated from them by an oxide layer.
  • the floating gate may be formed of suitable materials such as polycrystalline silicon (“poly”) and/or some other conductive materials.
  • the oxide layer may be formed of silicon dioxide (SiO 2 ) and/or the like.
  • the control gate may be disposed over the floating gate. The control gate and the floating gate may be separated by a thin oxide layer.
  • a floating gate is capable of holding a charge and is separated from source and drain regions contained in a substrate by an oxide layer.
  • Each of the memory cells may be electrically charged by injecting electrons from the substrate through the oxide layer.
  • the charge may be removed from the floating gate by tunneling the electrons to the source region or an erase gate during an erase operation.
  • the data in flash memory cells are thus determined by the presence or absence of electrical charges in the floating gates.
  • FIG. 1 illustrates a cross sectional view of a memory structure in accordance with various embodiments of the present disclosure
  • FIG. 2 illustrates a cross sectional view of a semiconductor device having a control gate formed over a substrate in accordance with various embodiments of the present disclosure
  • FIG. 3 illustrates a cross sectional view of a semiconductor device shown in FIG. 2 after an oxide-nitride-oxide (O—N—O) structure is formed over the gate structure shown in FIG. 2 in accordance with various embodiments of the present disclosure;
  • O—N—O oxide-nitride-oxide
  • FIG. 4 illustrates a cross sectional view of the semiconductor device shown in FIG. 3 after a memory gate electrode layer is deposited over the substrate in accordance with various embodiments of the present disclosure
  • FIG. 5 illustrates a cross sectional view of the semiconductor device shown in FIG. 4 after an etching process is applied to the semiconductor device in accordance with various embodiments of the present disclosure
  • FIG. 6 illustrates a cross sectional view of the semiconductor device shown in FIG. 5 after a patterning process is applied to a photoresist layer in accordance with various embodiments of the present disclosure
  • FIG. 7A illustrates a cross sectional view of the semiconductor device shown in FIG. 6 after an etching process is applied to the semiconductor device in accordance with various embodiments of the present disclosure
  • FIG. 7B illustrates a simplified diagram of the chamber of the isotropic dry-etch process in accordance with various embodiments of the present disclosure
  • FIG. 8 illustrates a cross sectional view of the semiconductor device shown in FIG. 7A after a photoresist removal process is applied to the remaining photoresist layer in accordance with various embodiments of the present disclosure
  • FIG. 9 illustrates a cross sectional view of the semiconductor device shown in FIG. 8 after an etching process is applied to the second oxide layer and the silicon nitride layer in accordance with various embodiments of the present disclosure
  • FIG. 10 illustrates a cross sectional view of the semiconductor device shown in FIG. 9 after a spacer layer is formed over the semiconductor device in accordance with various embodiments of the present disclosure
  • FIG. 11 illustrates a cross sectional view of the semiconductor device shown in FIG. 10 after a plurality of spacers are formed in accordance with various embodiments of the present disclosure
  • FIG. 12 illustrates a cross sectional view of the semiconductor device shown in FIG. 11 after an etching process is applied to the semiconductor device in accordance with various embodiments of the present disclosure
  • FIG. 13 illustrates a cross section view of the semiconductor device shown in FIG. 12 after a spacer deposition is applied to the semiconductor device in accordance with various embodiments of the present disclosure
  • FIG. 14 illustrates a cross sectional view of the semiconductor device shown in FIG. 13 after an etching process is applied to the spacer layer shown in FIG. 13 in accordance with various embodiments of the present disclosure
  • FIG. 15 illustrates a cross section view of the semiconductor device shown in FIG. 14 after drain/source regions, silicide regions and a contact etch stop layer (CESL) are formed on the semiconductor device in accordance with various embodiments of the present disclosure
  • FIG. 16 illustrates a cross section view of the semiconductor device shown in FIG. 15 after an inter-layer dielectric (ILD) layer may be formed over the CESL layer and a chemical mechanical polish (CMP) process is applied to the top surface of the semiconductor device in accordance with various embodiments of the present disclosure;
  • ILD inter-layer dielectric
  • CMP chemical mechanical polish
  • FIG. 17 illustrates a cross section view of the semiconductor device shown in FIG. 16 after a variety of contacts are formed in the semiconductor device in accordance with various embodiments of the present disclosure
  • FIG. 18 illustrates a top view of a memory structure in accordance with various embodiments of the present disclosure.
  • FIG. 19 illustrates a top view and a cross sectional view of the memory structure in accordance with various embodiments of the present disclosure.
  • FIG. 1 illustrates a cross sectional view of a memory structure in accordance with various embodiments of the present disclosure.
  • the memory structure 100 may be a flash memory cell having a first drain/source region 104 and a second drain/source region 106 .
  • the memory structure 100 comprises a gate structure comprising a control gate 114 and a memory gate 112 . Both the control gate 114 and the memory gate 112 are formed over a substrate 102 .
  • the memory structure 100 further comprises a charge storage layer 116 .
  • the charge storage layer 116 is an L-shaped layer. A horizontal side of the L-shaped layer is formed between the substrate 102 and the memory gate 112 . A vertical side of the L-shaped layer is formed between the memory gate 112 and the control gate 114 . It should be noted that the charge storage layer 116 is enclosed by dielectric materials. As a result, the charge storage layer 116 is isolated from the memory gate 112 , the control gate 114 and the substrate 102 respectively.
  • the top surface of the memory gate 112 is protected by a dielectric layer such as a silicon nitride layer 117 and/or the like.
  • a dielectric layer helps to prevent a salicide layer from being formed on top of the memory gate 112 .
  • a dielectric layer 120 formed between the top surface of the memory gate 112 and the silicon nitride layer 117 as shown in FIG. 1 .
  • the dielectric layer 120 is an oxide layer.
  • FIG. 1 also illustrates there may be a first thin spacer layer 118 formed along the sidewall of the memory gate 112 .
  • a spacer layer 118 helps to protect the sidewall of the memory gate 112 and form the drain/source regions in a self-aligned manner.
  • a second thin spacer layer 119 formed along the sidewall of the control gate 114 . The detailed formation process of the first thin spacer layer 118 and the second thin spacer layer 119 will be described below in detail with respect to FIG. 10 and FIG. 11 .
  • the memory structure 100 may comprise a variety of semiconductor regions. For the purpose of clearly illustrating the inventive aspects of the various embodiments, only a few regions are described in detail herein. The rest of the semiconductor regions of the memory structure 100 will be described below with respect to FIGS. 2-17 .
  • FIGS. 2-17 illustrate intermediate steps of fabricating the memory structure shown in FIG. 1 in accordance with various embodiments of the present disclosure.
  • FIG. 2 illustrates a cross sectional view of a semiconductor device having a control gate formed over a substrate in accordance with various embodiments of the present disclosure. As shown in FIG. 2 , a plurality of gate structures 201 and 203 may be formed over the substrate 102 . It should be noted while FIG. 2 illustrates two gate structures, the semiconductor device 200 may accommodate any number of gate structures.
  • the substrate 102 may be formed of silicon, although it may also be formed of other group III, group IV, and/or group V elements, such as silicon, germanium, gallium, arsenic, and combinations thereof.
  • the substrate 102 may also be in the form of bulk substrate or silicon-on-insulator (SOI) substrate.
  • SOI silicon-on-insulator
  • a gate dielectric layer 202 is deposited over the substrate 102 and a gate electrode layer such as a poly layer 204 is formed over the gate dielectric layer 202 .
  • a hard mask structure including an oxide layer 206 and a nitride layer 208 is formed over the poly layer 204 .
  • a photoresist layer (not shown) may be formed over the hard mask structure and a patterning process is applied to the photoresist layer. After an etching process, the gate structures 201 and 203 are formed as shown in FIG. 2 .
  • the gate dielectrics layer 202 may be a dielectric material, such as silicon oxide, silicon oxynitride, silicon nitride, an oxide, a nitrogen-containing oxide, a combination thereof, or the like.
  • the gate dielectrics layer 202 may have a relative permittivity value greater than about 4.
  • Other examples of such materials include aluminum oxide, lanthanum oxide, hafnium oxide, zirconium oxide, hafnium oxynitride, or combinations thereof.
  • the gate electrode layer 204 may be formed of poly-silicon.
  • the gate electrode layer 204 may be formed by depositing doped or undoped poly-silicon by low-pressure chemical vapor deposition (LPCVD) to a thickness in the range of about 400 ⁇ to about 2,400 ⁇ , such as about 1,400 ⁇ .
  • LPCVD low-pressure chemical vapor deposition
  • the gate electrode layer 204 may comprise a conductive material, such as a metal (e.g., tantalum, titanium, molybdenum, tungsten, platinum, aluminum, hafnium, ruthenium), a metal silicide (e.g., titanium silicide, cobalt silicide, nickel silicide, tantalum silicide), a metal nitride (e.g., titanium nitride, tantalum nitride), doped poly-crystalline silicon, other conductive materials, combinations thereof, or the like.
  • a metal e.g., tantalum, titanium, molybdenum, tungsten, platinum, aluminum, hafnium, ruthenium
  • a metal silicide e.g., titanium silicide, cobalt silicide, nickel silicide, tantalum silicide
  • a metal nitride e.g., titanium nitride, tantalum nitride
  • FIG. 3 illustrates a cross sectional view of a semiconductor device shown in FIG. 2 after an oxide-nitride-oxide (O—N—O) structure is formed over the gate structure shown in FIG. 2 in accordance with various embodiments of the present disclosure.
  • the O—N—O structure includes a first oxide layer 302 , a silicon nitride layer 304 and a second oxide layer 306 .
  • the first oxide layer 302 is deposited over the top surface of the substrate 102 , the sidewalls of the gate structures and the top surfaces of the gate structures.
  • the first oxide layer 302 is of a thickness of about 50 ⁇ .
  • the silicon nitride layer 304 is formed over the first oxide layer 302 .
  • the silicon nitride layer 304 is of a thickness of about 100 ⁇ .
  • the silicon nitride layer 304 may be formed by using suitable deposition techniques such as plasma enhanced chemical vapor deposition (PECVD) and/or the like.
  • PECVD plasma enhanced chemical vapor deposition
  • the second oxide layer 306 is deposited over the top surface of the silicon nitride layer 304 through suitable semiconductor deposition techniques.
  • the second oxide layer 306 is of a thickness of about 100 ⁇ .
  • FIG. 4 illustrates a cross sectional view of the semiconductor device shown in FIG. 3 after a memory gate electrode layer is deposited over the substrate in accordance with various embodiments of the present disclosure.
  • the memory gate electrode layer 402 may be formed of suitable materials such as poly-silicon.
  • the memory gate electrode layer 402 is deposited over the semiconductor device 200 using suitable deposition techniques such as chemical vapor deposition (CVD) and/or the like. After the memory gate electrode layer 402 is deposited over the semiconductor device 200 , the control gate structures 201 and 203 may be embedded in the memory gate electrode layer 402 .
  • CVD chemical vapor deposition
  • FIG. 5 illustrates a cross sectional view of the semiconductor device shown in FIG. 4 after an etching process is applied to the semiconductor device in accordance with various embodiments of the present disclosure.
  • An etching process is applied to the semiconductor device 200 .
  • portions of the memory gate electrode layer 402 have been removed.
  • the etching process stops on the top surface of the second oxide layer 306 .
  • first memory gate structure 502 As shown in FIG. 5 , after the etching process finishes, there may be four resulting memory gate structures, namely a first memory gate structure 502 , a second memory gate structure 504 , a third memory gate structure 506 and a fourth memory gate structure 508 .
  • first memory gate structure 502 and the second memory gate structure 504 are formed along opposite sidewalls of the first control gate structure 201 .
  • third memory gate structure 506 and the fourth memory gate structure 508 are formed along opposite sidewalls of the second control gate structure 203 .
  • FIG. 6 illustrates a cross sectional view of the semiconductor device shown in FIG. 5 after a patterning process is applied to a photoresist layer in accordance with various embodiments of the present disclosure.
  • the opening of a drain/source region of the semiconductor device 200 may be formed by using photolithography techniques to deposit and pattern a photoresist layer 602 .
  • a portion of the photoresist layer 602 is exposed according to the location and shape of the drain/source region.
  • the removal of a portion of the photoresist layer 602 involves lithography operations, which are well known, and hence are not discussed in further detail herein.
  • FIG. 7A illustrates a cross sectional view of the semiconductor device shown in FIG. 6 after an etching process is applied to the semiconductor device in accordance with various embodiments of the present disclosure.
  • a suitable etching process such as an isotropic dry-etch process (a.k.a. CDE) may be applied to the exposed drain/source region of the semiconductor device 200 .
  • CDE isotropic dry-etch process
  • the second memory gate structure 504 and the third memory gate structure 506 (now shown but illustrated in FIG. 5 respectively) have been removed.
  • the etching process stops on the top surface of the second oxide layer 306 .
  • FIG. 7B illustrates a simplified diagram of the chamber of the isotropic dry-etch process in accordance with various embodiments of the present disclosure.
  • the semiconductor device 200 may be placed on an electrostatic chuck (ESC) inside the chamber 702 .
  • ESC electrostatic chuck
  • the plasma source is placed outside the chamber 702 as shown in FIG. 7B .
  • the reactive gas of the dry etching process is fed into the chamber 702 through a tube 704 .
  • the active species of the dry etching process are generated in a location away from the chamber 702 and transported into the chamber 702 through the tube 704 .
  • the etching process is implemented as a down-flow etching process. Such a down-flow etching process helps to improve the uniformity of the surface of the semiconductor device 200 .
  • the ESC shown in FIG. 7B is capable of adjusting the temperature of the semiconductor device 200 so that the semiconductor device 200 is of a stable temperature during the etching process.
  • an automatic pressure controller is employed to maintain a stable pressure level in the chamber 702 .
  • the reactive gases of the dry etching process include a mixture of a first gas and a second gas.
  • the first gas may be any CxHyFz type etching gases such as CF4, CH2F2, CHF3, any combination thereof and/or the like.
  • the second gas may be oxygen.
  • the ratio of the first gas to the second gas is in a range from about 0.5 to about 1.5.
  • the etching process pressure is in a range from about 200 mT to about 800 mT.
  • the etching process power is in a range from about 200 W to about 800 W.
  • the flow rate of the reactive gases is in a range from about 300 Standard Cubic Centimeters per Minute (SCCM) to about 800 SCCM.
  • SCCM Standard Cubic Centimeters per Minute
  • the etching selectivity of silicon/oxide is maintained in a range from about 5 to about 10.
  • the etching selectivity of nitride/oxide is maintained in a range from about 5 to about 10.
  • FIG. 8 illustrates a cross sectional view of the semiconductor device shown in FIG. 7A after a photoresist removal process is applied to the remaining photoresist layer in accordance with various embodiments of the present disclosure.
  • the remaining photoresist layer shown in FIG. 7A may be removed by using suitable photoresist stripping techniques such as chemical solvent cleaning, plasma ashing, dry stripping and/or the like.
  • suitable photoresist stripping techniques such as chemical solvent cleaning, plasma ashing, dry stripping and/or the like.
  • the photoresist stripping techniques are well known and hence are not discussed in further detail herein to avoid repetition.
  • FIG. 9 illustrates a cross sectional view of the semiconductor device shown in FIG. 8 after an etching process is applied to the second oxide layer and the silicon nitride layer in accordance with various embodiments of the present disclosure.
  • An etching process such as a wet etching process is applied to the second oxide layer 306 and the silicon nitride layer 304 (not shown but illustrated in FIG. 8 ).
  • a majority of the second oxide layer 306 and the silicon nitride layer 304 has been removed as a result.
  • the remaining portion of the second oxide layer includes two L-shaped structures situated between the memory gates (e.g., memory gate 112 ) and their respective control gates (e.g., control gate 114 ).
  • the remaining portion of the silicon nitride layer includes two L-shaped structures.
  • the L-shaped silicon nitride layers such as layer 116 may function as a charge storage layer for the semiconductor device 200 .
  • FIG. 10 illustrates a cross sectional view of the semiconductor device shown in FIG. 9 after a spacer layer is formed over the semiconductor device in accordance with various embodiments of the present disclosure.
  • the spacer layer 1002 may be formed by blanket depositing one or more spacer layers over the semiconductor device 200 .
  • the spacer layer 1002 may comprise suitable materials such as SiN, oxynitride, SiC, SiON, oxide, and the like and may be formed by commonly used methods such as CVD, PECVD, sputter, and other methods known in the art.
  • FIG. 11 illustrates a cross sectional view of the semiconductor device shown in FIG. 10 after a plurality of spacers are formed in accordance with various embodiments of the present disclosure.
  • the spacer layer 1002 may be patterned, such as by isotropically or anisotropically etching, thereby removing the spacer layer from the horizontal surfaces of the structure and forming the spacers 1102 , 1104 , 1106 and 1108 as illustrated in FIG. 11 .
  • a first thin spacer layer 1102 is formed along a sidewall of the memory gate 112 .
  • a second thin spacer layer 1104 is formed along a sidewall of the control gate 114 .
  • a third thin spacer layer 1106 is formed along a sidewall of another control gate and a fourth thin spacer layer 1108 is formed along a sidewall of another memory gate as shown in FIG. 11 .
  • FIG. 12 illustrates a cross sectional view of the semiconductor device shown in FIG. 11 after an etching process is applied to the semiconductor device in accordance with various embodiments of the present disclosure.
  • a suitable etching process such as an isotropic dry etch process is applied to the exposed portions of the memory gate 112 .
  • an isotropic dry etch process is applied to the exposed portions of the memory gate 112 .
  • the isotropic dry etch process has been described above with respect to FIG. 7A and FIG. 7B , and hence is not discussed again to avoid unnecessary repetition.
  • FIG. 13 illustrates a cross section view of the semiconductor device shown in FIG. 12 after a spacer deposition is applied to the semiconductor device in accordance with various embodiments of the present disclosure.
  • the spacer layer 1302 may be formed by blanket depositing one or more spacer layers over the semiconductor device 200 .
  • the spacer layer 1302 may comprise SiN and/or the like and may be formed by commonly used methods such as CVD, PECVD, sputter, and other methods known in the art.
  • FIG. 14 illustrates a cross sectional view of the semiconductor device shown in FIG. 13 after an etching process is applied to the spacer layer shown in FIG. 13 in accordance with various embodiments of the present disclosure.
  • the spacer layer 1302 may be patterned, such as by isotropically or anisotropically etching, thereby removing the spacer layer over the drain/source regions and the spacer layer over the control gates. It should be noted that as shown in FIG. 14 , the top surface of the memory gate 112 is covered by the remaining portion of the spacer layer 1302 . Such a spacer layer helps to prevent a salicide layer from being formed over the memory gate 112 .
  • FIG. 15 illustrates a cross section view of the semiconductor device shown in FIG. 14 after drain/source regions, silicide regions and a contact etch stop layer (CESL) are formed on the semiconductor device in accordance with various embodiments of the present disclosure.
  • the drain/source regions 104 and 106 may be formed through an ion implantation process. As is known to those of skill in the art, the use of dopant atoms in an implant step may form the drain/source regions 104 and 106 with a particular conductivity type. Depending on different applications, the drain/source regions 104 and 106 may be n-type or p-type.
  • the drain/source regions 104 and 106 may be a p-type region.
  • Appropriate p-type dopants such as boron, gallium, indium and/or the like are implanted into the substrate 102 to form the drain/source regions 104 and 106 .
  • the drain/source regions 104 and 106 may be an n-type region.
  • Appropriate n-type dopants such as phosphorous, arsenic and/or the like are implanted into the substrate 102 to form the drain/source regions 104 and 106 .
  • the silicide regions 1502 , 1504 and 1506 are formed by a salicide process.
  • a salicide process a thin layer of metal is blanket deposited over a semiconductor wafer having exposed drain/source regions. The wafer is then subjected to one or more annealing steps. This annealing process causes the metal to selectively react with the exposed silicon of the source/drain regions, thereby forming metal silicide regions 1502 , 1504 and 1506 over the drain/source regions.
  • the process is referred to as a self-aligned silicidation process because the silicide layer is formed only where the metal material directly contacts the silicon drain/source regions and the gate electrodes.
  • silicide regions 1502 , 1504 and 1506 comprise metals that react with silicon such as titanium, platinum, cobalt and the like. However, other metals, such as manganese, palladium and the like, can also be used.
  • the CESL 1508 may comprise commonly used dielectric materials, such as silicon nitride, silicon oxynitride, silicon oxycarbide, silicon carbide, combinations thereof, and multi-layers thereof.
  • the CESL 1508 is deposited over the semiconductor device through suitable deposition techniques such as sputtering, CVD and the like.
  • FIG. 16 illustrates a cross section view of the semiconductor device shown in FIG. 15 after an inter-layer dielectric (ILD) layer 1502 may be formed over the CESL layer and a chemical mechanical polish (CMP) process is applied to the top surface of the semiconductor device in accordance with various embodiments of the present disclosure.
  • the inter-layer dielectric (ILD) layer 1602 may be formed over the CESL 1508 .
  • the ILD layer 1602 may be formed by chemical vapor deposition, sputtering, or any other methods known and used in the art for forming an ILD, using, e.g., tetra-ethyl-ortho-silicate (TEOS) and oxygen as a precursor.
  • TEOS tetra-ethyl-ortho-silicate
  • the ILD layer 1602 may be about 4,000 ⁇ to about 13,000 ⁇ in thickness, but other thicknesses may be used.
  • the ILD layer 1602 may comprise doped or undoped silicon oxide, although other materials such as silicon nitride doped silicate glass, high-k materials, combinations of these, or the like, may alternatively be utilized.
  • a planarization process such as CMP, etch back step and the like, may be performed to planarize the top surface of the ILD layer 1602 . As shown in FIG. 16 , a portion of the ILD layer 1602 has been removed as a result.
  • FIG. 17 illustrates a cross section view of the semiconductor device shown in FIG. 16 after a variety of contacts are formed in the semiconductor device in accordance with various embodiments of the present disclosure.
  • a dielectric layer 1702 may be formed over the ILD layer 1602 .
  • a plurality of openings may be formed by etching the dielectric layer 1702 as well as the ILD layer 1602 .
  • the CESL layer 1302 With the help of the CESL layer 1302 , the etching process of the dielectric layer 1702 and the ILD layer 1602 is more precisely controlled.
  • the CESL layer 1302 , the ILD layer 1602 and the dielectric layer 1702 in the openings are also removed, thereby exposing the underlying silicide regions over the drain/source regions 104 and 106 .
  • a metallic material which includes tungsten, titanium, aluminum, copper, any combinations thereof and/or the like, is filled into the openings, forming contact plugs 1704 and 1706 .
  • FIG. 18 illustrates a top view of a memory structure in accordance with various embodiments of the present disclosure.
  • the memory structure 1802 includes a plurality of memory cells arranged in rows and columns.
  • a memory gate structure 1804 and the control gate structure 1806 are placed in parallel.
  • the control gate structure 1806 has its own contacts 1808 as shown in FIG. 18 .
  • the formation of the contacts of the memory gate structure includes forming an opening adjacent to the memory gate structure 1804 , filling a conductive material or a variety of conductive materials into the opening to form a conductive region, wherein the conductive region is electrically coupled to the memory gate structure 1804 and forming a plurality of contact plugs over the conductive region.
  • FIG. 19 illustrates a top view and a cross sectional view of the memory structure in accordance with various embodiments of the present disclosure.
  • the top view 1901 shows a portion of the top view shown in FIG. 18 .
  • the cross sectional view shown in FIG. 19 is taken along line a-a′ of the top view 1901 .
  • a first memory gate 1912 and a first control gate 1914 are placed in parallel.
  • a second memory gate 1922 and a second control gate 1924 are placed in parallel.
  • Three contact plugs 1902 , 1904 and 1906 are formed over the drain/source regions as shown in FIG. 19 .
  • an apparatus comprises a control gate structure and a memory gate structure over a substrate, a charge storage layer formed between the control gate structure and the memory gate structure, a first spacer along a sidewall of the memory gate structure, a second spacer along a sidewall of the control gate structure, an oxide layer over a top surface of the memory gate structure, a top spacer over the oxide layer, a first drain/source region formed in the substrate and adjacent to the memory gate structure and a second drain/source region formed in the substrate and adjacent to the control gate structure.
  • a device comprises a control gate structure and a memory gate structure over a substrate, an L-shaped charge storage layer formed between the control gate structure and the memory gate structure, an L-shaped dielectric layer between the L-shaped charge storage layer and the memory gate structure, a first spacer along a sidewall of the memory gate structure, a second spacer along a sidewall of the control gate structure and a top spacer over the memory gate structure.
  • a device comprises a control gate structure over a substrate, a memory gate structure over the substrate, an L-shaped silicon nitride layer formed between the control gate structure and the memory gate structure, a first spacer along a sidewall of the memory gate structure, a second spacer along a sidewall of the control gate structure, a top silicon nitride layer over the memory gate structure, a first drain/source region formed in the substrate and adjacent to the memory gate structure and a second drain/source region formed in the substrate and adjacent to the control gate structure.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)

Abstract

A device comprises a control gate structure and a memory gate structure over a substrate, a charge storage layer formed between the control gate structure and the memory gate structure, a first spacer along a sidewall of the memory gate structure, a second spacer along a sidewall of the control gate structure, an oxide layer over a top surface of the memory gate structure, a top spacer over the oxide layer, a first drain/source region formed in the substrate and adjacent to the memory gate structure and a second drain/source region formed in the substrate and adjacent to the control gate structure.

Description

    PRIORITY CLAIM AND CROSS-REFERENCE
  • This is a divisional application of U.S. application Ser. No. 14/095,588, entitled “Memory Devices and Method of Fabricating Same” which was filed on Dec. 3, 2013 and issued as U.S. Pat. No. 9,559,177 on Jan. 31, 2017 and is incorporated herein by reference.
  • BACKGROUND
  • Modern electronic devices such as a notebook computer comprise a variety of memories to store information. Memory circuits include two major categories. One is volatile memories; the other is non-volatile memories. Volatile memories include random access memory (RAM), which can be further divided into two sub-categories, static random access memory (SRAM) and dynamic random access memory (DRAM). Both SRAM and DRAM are volatile because they will lose the information they store when they are not powered. On the other hand, non-volatile memories can keep data stored on them. Non-volatile memories include a variety of sub-categories, such as read-only-memory (ROM), electrically erasable programmable read-only memory (EEPROM) and flash memory.
  • One type of EEPROM memory device is referred to as a flash memory device. Flash memories have become increasingly popular in recent years. A typical flash memory comprises a memory array having a large number of memory cells arranged in rows and columns. Each of the memory cells is fabricated as a field-effect transistor having a drain region, a source region, a control gate and a floating gate.
  • The floating gate is disposed above a substrate. The floating gate is between the source region and the drain region, but separated from them by an oxide layer. The floating gate may be formed of suitable materials such as polycrystalline silicon (“poly”) and/or some other conductive materials. The oxide layer may be formed of silicon dioxide (SiO2) and/or the like. The control gate may be disposed over the floating gate. The control gate and the floating gate may be separated by a thin oxide layer.
  • In operation, a floating gate is capable of holding a charge and is separated from source and drain regions contained in a substrate by an oxide layer. Each of the memory cells may be electrically charged by injecting electrons from the substrate through the oxide layer. The charge may be removed from the floating gate by tunneling the electrons to the source region or an erase gate during an erase operation. The data in flash memory cells are thus determined by the presence or absence of electrical charges in the floating gates.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of the present disclosure, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
  • FIG. 1 illustrates a cross sectional view of a memory structure in accordance with various embodiments of the present disclosure;
  • FIG. 2 illustrates a cross sectional view of a semiconductor device having a control gate formed over a substrate in accordance with various embodiments of the present disclosure;
  • FIG. 3 illustrates a cross sectional view of a semiconductor device shown in FIG. 2 after an oxide-nitride-oxide (O—N—O) structure is formed over the gate structure shown in FIG. 2 in accordance with various embodiments of the present disclosure;
  • FIG. 4 illustrates a cross sectional view of the semiconductor device shown in FIG. 3 after a memory gate electrode layer is deposited over the substrate in accordance with various embodiments of the present disclosure;
  • FIG. 5 illustrates a cross sectional view of the semiconductor device shown in FIG. 4 after an etching process is applied to the semiconductor device in accordance with various embodiments of the present disclosure;
  • FIG. 6 illustrates a cross sectional view of the semiconductor device shown in FIG. 5 after a patterning process is applied to a photoresist layer in accordance with various embodiments of the present disclosure;
  • FIG. 7A illustrates a cross sectional view of the semiconductor device shown in FIG. 6 after an etching process is applied to the semiconductor device in accordance with various embodiments of the present disclosure;
  • FIG. 7B illustrates a simplified diagram of the chamber of the isotropic dry-etch process in accordance with various embodiments of the present disclosure;
  • FIG. 8 illustrates a cross sectional view of the semiconductor device shown in FIG. 7A after a photoresist removal process is applied to the remaining photoresist layer in accordance with various embodiments of the present disclosure;
  • FIG. 9 illustrates a cross sectional view of the semiconductor device shown in FIG. 8 after an etching process is applied to the second oxide layer and the silicon nitride layer in accordance with various embodiments of the present disclosure;
  • FIG. 10 illustrates a cross sectional view of the semiconductor device shown in FIG. 9 after a spacer layer is formed over the semiconductor device in accordance with various embodiments of the present disclosure;
  • FIG. 11 illustrates a cross sectional view of the semiconductor device shown in FIG. 10 after a plurality of spacers are formed in accordance with various embodiments of the present disclosure;
  • FIG. 12 illustrates a cross sectional view of the semiconductor device shown in FIG. 11 after an etching process is applied to the semiconductor device in accordance with various embodiments of the present disclosure;
  • FIG. 13 illustrates a cross section view of the semiconductor device shown in FIG. 12 after a spacer deposition is applied to the semiconductor device in accordance with various embodiments of the present disclosure;
  • FIG. 14 illustrates a cross sectional view of the semiconductor device shown in FIG. 13 after an etching process is applied to the spacer layer shown in FIG. 13 in accordance with various embodiments of the present disclosure;
  • FIG. 15 illustrates a cross section view of the semiconductor device shown in FIG. 14 after drain/source regions, silicide regions and a contact etch stop layer (CESL) are formed on the semiconductor device in accordance with various embodiments of the present disclosure;
  • FIG. 16 illustrates a cross section view of the semiconductor device shown in FIG. 15 after an inter-layer dielectric (ILD) layer may be formed over the CESL layer and a chemical mechanical polish (CMP) process is applied to the top surface of the semiconductor device in accordance with various embodiments of the present disclosure;
  • FIG. 17 illustrates a cross section view of the semiconductor device shown in FIG. 16 after a variety of contacts are formed in the semiconductor device in accordance with various embodiments of the present disclosure;
  • FIG. 18 illustrates a top view of a memory structure in accordance with various embodiments of the present disclosure; and
  • FIG. 19 illustrates a top view and a cross sectional view of the memory structure in accordance with various embodiments of the present disclosure.
  • Corresponding numerals and symbols in the different figures generally refer to corresponding parts unless otherwise indicated. The figures are drawn to clearly illustrate the relevant aspects of the various embodiments and are not necessarily drawn to scale.
  • DETAILED DESCRIPTION
  • The making and using of the present embodiments are discussed in detail below. It should be appreciated, however, that the present disclosure provides many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the disclosure, and do not limit the scope of the disclosure.
  • The present disclosure will be described with respect to embodiments in a specific context, namely a flash memory device. The embodiments of the disclosure may also be applied, however, to a variety of memory devices. Hereinafter, various embodiments will be explained in detail with reference to the accompanying drawings.
  • FIG. 1 illustrates a cross sectional view of a memory structure in accordance with various embodiments of the present disclosure. In some embodiments, the memory structure 100 may be a flash memory cell having a first drain/source region 104 and a second drain/source region 106.
  • The memory structure 100 comprises a gate structure comprising a control gate 114 and a memory gate 112. Both the control gate 114 and the memory gate 112 are formed over a substrate 102. The memory structure 100 further comprises a charge storage layer 116. As shown in FIG. 1, the charge storage layer 116 is an L-shaped layer. A horizontal side of the L-shaped layer is formed between the substrate 102 and the memory gate 112. A vertical side of the L-shaped layer is formed between the memory gate 112 and the control gate 114. It should be noted that the charge storage layer 116 is enclosed by dielectric materials. As a result, the charge storage layer 116 is isolated from the memory gate 112, the control gate 114 and the substrate 102 respectively.
  • As shown in FIG. 1, the top surface of the memory gate 112 is protected by a dielectric layer such as a silicon nitride layer 117 and/or the like. Such a dielectric layer helps to prevent a salicide layer from being formed on top of the memory gate 112. In addition, there may be a dielectric layer 120 formed between the top surface of the memory gate 112 and the silicon nitride layer 117 as shown in FIG. 1. In some embodiments, the dielectric layer 120 is an oxide layer.
  • FIG. 1 also illustrates there may be a first thin spacer layer 118 formed along the sidewall of the memory gate 112. Such a spacer layer 118 helps to protect the sidewall of the memory gate 112 and form the drain/source regions in a self-aligned manner. Likewise, there may be a second thin spacer layer 119 formed along the sidewall of the control gate 114. The detailed formation process of the first thin spacer layer 118 and the second thin spacer layer 119 will be described below in detail with respect to FIG. 10 and FIG. 11.
  • The memory structure 100 may comprise a variety of semiconductor regions. For the purpose of clearly illustrating the inventive aspects of the various embodiments, only a few regions are described in detail herein. The rest of the semiconductor regions of the memory structure 100 will be described below with respect to FIGS. 2-17.
  • FIGS. 2-17 illustrate intermediate steps of fabricating the memory structure shown in FIG. 1 in accordance with various embodiments of the present disclosure. FIG. 2 illustrates a cross sectional view of a semiconductor device having a control gate formed over a substrate in accordance with various embodiments of the present disclosure. As shown in FIG. 2, a plurality of gate structures 201 and 203 may be formed over the substrate 102. It should be noted while FIG. 2 illustrates two gate structures, the semiconductor device 200 may accommodate any number of gate structures.
  • The substrate 102 may be formed of silicon, although it may also be formed of other group III, group IV, and/or group V elements, such as silicon, germanium, gallium, arsenic, and combinations thereof. The substrate 102 may also be in the form of bulk substrate or silicon-on-insulator (SOI) substrate.
  • In forming the gate structures 201 and 203 shown in FIG. 2, a gate dielectric layer 202 is deposited over the substrate 102 and a gate electrode layer such as a poly layer 204 is formed over the gate dielectric layer 202. A hard mask structure including an oxide layer 206 and a nitride layer 208 is formed over the poly layer 204. To form the gate structures 201 and 203 shown in FIG. 2, a photoresist layer (not shown) may be formed over the hard mask structure and a patterning process is applied to the photoresist layer. After an etching process, the gate structures 201 and 203 are formed as shown in FIG. 2.
  • The gate dielectrics layer 202 may be a dielectric material, such as silicon oxide, silicon oxynitride, silicon nitride, an oxide, a nitrogen-containing oxide, a combination thereof, or the like. The gate dielectrics layer 202 may have a relative permittivity value greater than about 4. Other examples of such materials include aluminum oxide, lanthanum oxide, hafnium oxide, zirconium oxide, hafnium oxynitride, or combinations thereof.
  • In some embodiments, the gate electrode layer 204 may be formed of poly-silicon. The gate electrode layer 204 may be formed by depositing doped or undoped poly-silicon by low-pressure chemical vapor deposition (LPCVD) to a thickness in the range of about 400 Å to about 2,400 Å, such as about 1,400 Å.
  • In alternative embodiments, the gate electrode layer 204 may comprise a conductive material, such as a metal (e.g., tantalum, titanium, molybdenum, tungsten, platinum, aluminum, hafnium, ruthenium), a metal silicide (e.g., titanium silicide, cobalt silicide, nickel silicide, tantalum silicide), a metal nitride (e.g., titanium nitride, tantalum nitride), doped poly-crystalline silicon, other conductive materials, combinations thereof, or the like.
  • FIG. 3 illustrates a cross sectional view of a semiconductor device shown in FIG. 2 after an oxide-nitride-oxide (O—N—O) structure is formed over the gate structure shown in FIG. 2 in accordance with various embodiments of the present disclosure. The O—N—O structure includes a first oxide layer 302, a silicon nitride layer 304 and a second oxide layer 306. As shown in FIG. 3, the first oxide layer 302 is deposited over the top surface of the substrate 102, the sidewalls of the gate structures and the top surfaces of the gate structures. In some embodiments, the first oxide layer 302 is of a thickness of about 50 Å.
  • The silicon nitride layer 304 is formed over the first oxide layer 302. In some embodiments, the silicon nitride layer 304 is of a thickness of about 100 Å. The silicon nitride layer 304 may be formed by using suitable deposition techniques such as plasma enhanced chemical vapor deposition (PECVD) and/or the like.
  • As shown in FIG. 3, the second oxide layer 306 is deposited over the top surface of the silicon nitride layer 304 through suitable semiconductor deposition techniques. In some embodiments, the second oxide layer 306 is of a thickness of about 100 Å.
  • FIG. 4 illustrates a cross sectional view of the semiconductor device shown in FIG. 3 after a memory gate electrode layer is deposited over the substrate in accordance with various embodiments of the present disclosure. The memory gate electrode layer 402 may be formed of suitable materials such as poly-silicon. The memory gate electrode layer 402 is deposited over the semiconductor device 200 using suitable deposition techniques such as chemical vapor deposition (CVD) and/or the like. After the memory gate electrode layer 402 is deposited over the semiconductor device 200, the control gate structures 201 and 203 may be embedded in the memory gate electrode layer 402.
  • FIG. 5 illustrates a cross sectional view of the semiconductor device shown in FIG. 4 after an etching process is applied to the semiconductor device in accordance with various embodiments of the present disclosure. An etching process is applied to the semiconductor device 200. By controlling the strength and direction of the etching process, portions of the memory gate electrode layer 402 have been removed. The etching process stops on the top surface of the second oxide layer 306.
  • As shown in FIG. 5, after the etching process finishes, there may be four resulting memory gate structures, namely a first memory gate structure 502, a second memory gate structure 504, a third memory gate structure 506 and a fourth memory gate structure 508. As shown in FIG. 5, the first memory gate structure 502 and the second memory gate structure 504 are formed along opposite sidewalls of the first control gate structure 201. Likewise, the third memory gate structure 506 and the fourth memory gate structure 508 are formed along opposite sidewalls of the second control gate structure 203.
  • FIG. 6 illustrates a cross sectional view of the semiconductor device shown in FIG. 5 after a patterning process is applied to a photoresist layer in accordance with various embodiments of the present disclosure. The opening of a drain/source region of the semiconductor device 200 may be formed by using photolithography techniques to deposit and pattern a photoresist layer 602. A portion of the photoresist layer 602 is exposed according to the location and shape of the drain/source region. The removal of a portion of the photoresist layer 602 involves lithography operations, which are well known, and hence are not discussed in further detail herein.
  • FIG. 7A illustrates a cross sectional view of the semiconductor device shown in FIG. 6 after an etching process is applied to the semiconductor device in accordance with various embodiments of the present disclosure. A suitable etching process such as an isotropic dry-etch process (a.k.a. CDE) may be applied to the exposed drain/source region of the semiconductor device 200. By controlling the strength and direction of the etching process, the second memory gate structure 504 and the third memory gate structure 506 (now shown but illustrated in FIG. 5 respectively) have been removed. The etching process stops on the top surface of the second oxide layer 306.
  • FIG. 7B illustrates a simplified diagram of the chamber of the isotropic dry-etch process in accordance with various embodiments of the present disclosure. The semiconductor device 200 may be placed on an electrostatic chuck (ESC) inside the chamber 702. In order to prevent the plasma source of the etching process from damaging the semiconductor device 200, the plasma source is placed outside the chamber 702 as shown in FIG. 7B. The reactive gas of the dry etching process is fed into the chamber 702 through a tube 704.
  • In some embodiments, the active species of the dry etching process are generated in a location away from the chamber 702 and transported into the chamber 702 through the tube 704. The etching process is implemented as a down-flow etching process. Such a down-flow etching process helps to improve the uniformity of the surface of the semiconductor device 200. The ESC shown in FIG. 7B is capable of adjusting the temperature of the semiconductor device 200 so that the semiconductor device 200 is of a stable temperature during the etching process. Moreover, an automatic pressure controller (APC) is employed to maintain a stable pressure level in the chamber 702.
  • The reactive gases of the dry etching process include a mixture of a first gas and a second gas. The first gas may be any CxHyFz type etching gases such as CF4, CH2F2, CHF3, any combination thereof and/or the like. The second gas may be oxygen. In some embodiments, the ratio of the first gas to the second gas is in a range from about 0.5 to about 1.5. The etching process pressure is in a range from about 200 mT to about 800 mT. The etching process power is in a range from about 200 W to about 800 W.
  • The flow rate of the reactive gases is in a range from about 300 Standard Cubic Centimeters per Minute (SCCM) to about 800 SCCM. The etching selectivity of silicon/oxide is maintained in a range from about 5 to about 10. Likewise, the etching selectivity of nitride/oxide is maintained in a range from about 5 to about 10.
  • FIG. 8 illustrates a cross sectional view of the semiconductor device shown in FIG. 7A after a photoresist removal process is applied to the remaining photoresist layer in accordance with various embodiments of the present disclosure. The remaining photoresist layer shown in FIG. 7A may be removed by using suitable photoresist stripping techniques such as chemical solvent cleaning, plasma ashing, dry stripping and/or the like. The photoresist stripping techniques are well known and hence are not discussed in further detail herein to avoid repetition.
  • FIG. 9 illustrates a cross sectional view of the semiconductor device shown in FIG. 8 after an etching process is applied to the second oxide layer and the silicon nitride layer in accordance with various embodiments of the present disclosure. An etching process such as a wet etching process is applied to the second oxide layer 306 and the silicon nitride layer 304 (not shown but illustrated in FIG. 8). As shown in FIG. 9, a majority of the second oxide layer 306 and the silicon nitride layer 304 has been removed as a result. The remaining portion of the second oxide layer includes two L-shaped structures situated between the memory gates (e.g., memory gate 112) and their respective control gates (e.g., control gate 114).
  • Likewise, the remaining portion of the silicon nitride layer includes two L-shaped structures. The L-shaped silicon nitride layers such as layer 116 may function as a charge storage layer for the semiconductor device 200.
  • FIG. 10 illustrates a cross sectional view of the semiconductor device shown in FIG. 9 after a spacer layer is formed over the semiconductor device in accordance with various embodiments of the present disclosure. The spacer layer 1002 may be formed by blanket depositing one or more spacer layers over the semiconductor device 200. The spacer layer 1002 may comprise suitable materials such as SiN, oxynitride, SiC, SiON, oxide, and the like and may be formed by commonly used methods such as CVD, PECVD, sputter, and other methods known in the art.
  • FIG. 11 illustrates a cross sectional view of the semiconductor device shown in FIG. 10 after a plurality of spacers are formed in accordance with various embodiments of the present disclosure. The spacer layer 1002 may be patterned, such as by isotropically or anisotropically etching, thereby removing the spacer layer from the horizontal surfaces of the structure and forming the spacers 1102, 1104, 1106 and 1108 as illustrated in FIG. 11. As shown in FIG. 11, a first thin spacer layer 1102 is formed along a sidewall of the memory gate 112. A second thin spacer layer 1104 is formed along a sidewall of the control gate 114. Similarly, a third thin spacer layer 1106 is formed along a sidewall of another control gate and a fourth thin spacer layer 1108 is formed along a sidewall of another memory gate as shown in FIG. 11.
  • FIG. 12 illustrates a cross sectional view of the semiconductor device shown in FIG. 11 after an etching process is applied to the semiconductor device in accordance with various embodiments of the present disclosure. A suitable etching process such as an isotropic dry etch process is applied to the exposed portions of the memory gate 112. As a result, an upper portion of the memory gate 112 has been removed. The isotropic dry etch process has been described above with respect to FIG. 7A and FIG. 7B, and hence is not discussed again to avoid unnecessary repetition.
  • FIG. 13 illustrates a cross section view of the semiconductor device shown in FIG. 12 after a spacer deposition is applied to the semiconductor device in accordance with various embodiments of the present disclosure. The spacer layer 1302 may be formed by blanket depositing one or more spacer layers over the semiconductor device 200. The spacer layer 1302 may comprise SiN and/or the like and may be formed by commonly used methods such as CVD, PECVD, sputter, and other methods known in the art.
  • FIG. 14 illustrates a cross sectional view of the semiconductor device shown in FIG. 13 after an etching process is applied to the spacer layer shown in FIG. 13 in accordance with various embodiments of the present disclosure. The spacer layer 1302 may be patterned, such as by isotropically or anisotropically etching, thereby removing the spacer layer over the drain/source regions and the spacer layer over the control gates. It should be noted that as shown in FIG. 14, the top surface of the memory gate 112 is covered by the remaining portion of the spacer layer 1302. Such a spacer layer helps to prevent a salicide layer from being formed over the memory gate 112.
  • FIG. 15 illustrates a cross section view of the semiconductor device shown in FIG. 14 after drain/source regions, silicide regions and a contact etch stop layer (CESL) are formed on the semiconductor device in accordance with various embodiments of the present disclosure. The drain/ source regions 104 and 106 may be formed through an ion implantation process. As is known to those of skill in the art, the use of dopant atoms in an implant step may form the drain/ source regions 104 and 106 with a particular conductivity type. Depending on different applications, the drain/ source regions 104 and 106 may be n-type or p-type.
  • In some embodiments, the drain/ source regions 104 and 106 may be a p-type region. Appropriate p-type dopants such as boron, gallium, indium and/or the like are implanted into the substrate 102 to form the drain/ source regions 104 and 106. Alternatively, the drain/ source regions 104 and 106 may be an n-type region. Appropriate n-type dopants such as phosphorous, arsenic and/or the like are implanted into the substrate 102 to form the drain/ source regions 104 and 106.
  • The silicide regions 1502, 1504 and 1506 are formed by a salicide process. In a salicide process, a thin layer of metal is blanket deposited over a semiconductor wafer having exposed drain/source regions. The wafer is then subjected to one or more annealing steps. This annealing process causes the metal to selectively react with the exposed silicon of the source/drain regions, thereby forming metal silicide regions 1502, 1504 and 1506 over the drain/source regions. The process is referred to as a self-aligned silicidation process because the silicide layer is formed only where the metal material directly contacts the silicon drain/source regions and the gate electrodes.
  • In some embodiments, silicide regions 1502, 1504 and 1506 comprise metals that react with silicon such as titanium, platinum, cobalt and the like. However, other metals, such as manganese, palladium and the like, can also be used.
  • The CESL 1508 may comprise commonly used dielectric materials, such as silicon nitride, silicon oxynitride, silicon oxycarbide, silicon carbide, combinations thereof, and multi-layers thereof. The CESL 1508 is deposited over the semiconductor device through suitable deposition techniques such as sputtering, CVD and the like.
  • FIG. 16 illustrates a cross section view of the semiconductor device shown in FIG. 15 after an inter-layer dielectric (ILD) layer 1502 may be formed over the CESL layer and a chemical mechanical polish (CMP) process is applied to the top surface of the semiconductor device in accordance with various embodiments of the present disclosure. The inter-layer dielectric (ILD) layer 1602 may be formed over the CESL 1508. The ILD layer 1602 may be formed by chemical vapor deposition, sputtering, or any other methods known and used in the art for forming an ILD, using, e.g., tetra-ethyl-ortho-silicate (TEOS) and oxygen as a precursor. The ILD layer 1602 may be about 4,000 Å to about 13,000 Å in thickness, but other thicknesses may be used. The ILD layer 1602 may comprise doped or undoped silicon oxide, although other materials such as silicon nitride doped silicate glass, high-k materials, combinations of these, or the like, may alternatively be utilized.
  • A planarization process, such as CMP, etch back step and the like, may be performed to planarize the top surface of the ILD layer 1602. As shown in FIG. 16, a portion of the ILD layer 1602 has been removed as a result.
  • FIG. 17 illustrates a cross section view of the semiconductor device shown in FIG. 16 after a variety of contacts are formed in the semiconductor device in accordance with various embodiments of the present disclosure. A dielectric layer 1702 may be formed over the ILD layer 1602. A plurality of openings (not shown) may be formed by etching the dielectric layer 1702 as well as the ILD layer 1602. With the help of the CESL layer 1302, the etching process of the dielectric layer 1702 and the ILD layer 1602 is more precisely controlled. The CESL layer 1302, the ILD layer 1602 and the dielectric layer 1702 in the openings are also removed, thereby exposing the underlying silicide regions over the drain/ source regions 104 and 106.
  • A metallic material, which includes tungsten, titanium, aluminum, copper, any combinations thereof and/or the like, is filled into the openings, forming contact plugs 1704 and 1706.
  • FIG. 18 illustrates a top view of a memory structure in accordance with various embodiments of the present disclosure. The memory structure 1802 includes a plurality of memory cells arranged in rows and columns. As shown in FIG. 18, a memory gate structure 1804 and the control gate structure 1806 are placed in parallel. The control gate structure 1806 has its own contacts 1808 as shown in FIG. 18. The formation of the contacts of the memory gate structure includes forming an opening adjacent to the memory gate structure 1804, filling a conductive material or a variety of conductive materials into the opening to form a conductive region, wherein the conductive region is electrically coupled to the memory gate structure 1804 and forming a plurality of contact plugs over the conductive region.
  • FIG. 19 illustrates a top view and a cross sectional view of the memory structure in accordance with various embodiments of the present disclosure. The top view 1901 shows a portion of the top view shown in FIG. 18. The cross sectional view shown in FIG. 19 is taken along line a-a′ of the top view 1901. As shown in FIG. 19, a first memory gate 1912 and a first control gate 1914 are placed in parallel. Likewise, a second memory gate 1922 and a second control gate 1924 are placed in parallel. Three contact plugs 1902, 1904 and 1906 are formed over the drain/source regions as shown in FIG. 19.
  • In accordance with an embodiment, an apparatus comprises a control gate structure and a memory gate structure over a substrate, a charge storage layer formed between the control gate structure and the memory gate structure, a first spacer along a sidewall of the memory gate structure, a second spacer along a sidewall of the control gate structure, an oxide layer over a top surface of the memory gate structure, a top spacer over the oxide layer, a first drain/source region formed in the substrate and adjacent to the memory gate structure and a second drain/source region formed in the substrate and adjacent to the control gate structure.
  • In accordance with an embodiment, a device comprises a control gate structure and a memory gate structure over a substrate, an L-shaped charge storage layer formed between the control gate structure and the memory gate structure, an L-shaped dielectric layer between the L-shaped charge storage layer and the memory gate structure, a first spacer along a sidewall of the memory gate structure, a second spacer along a sidewall of the control gate structure and a top spacer over the memory gate structure.
  • In accordance with an embodiment, a device comprises a control gate structure over a substrate, a memory gate structure over the substrate, an L-shaped silicon nitride layer formed between the control gate structure and the memory gate structure, a first spacer along a sidewall of the memory gate structure, a second spacer along a sidewall of the control gate structure, a top silicon nitride layer over the memory gate structure, a first drain/source region formed in the substrate and adjacent to the memory gate structure and a second drain/source region formed in the substrate and adjacent to the control gate structure.
  • Although embodiments of the present disclosure and its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the disclosure as defined by the appended claims.
  • Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the present disclosure, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present disclosure. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.

Claims (20)

What is claimed is:
1. An apparatus comprising:
a control gate structure and a memory gate structure over a substrate;
a charge storage layer formed between the control gate structure and the memory gate structure;
a first spacer along a sidewall of the memory gate structure;
a second spacer along a sidewall of the control gate structure;
an oxide layer over a top surface of the memory gate structure;
a top spacer over the oxide layer;
a first drain/source region formed in the substrate and adjacent to the memory gate structure; and
a second drain/source region formed in the substrate and adjacent to the control gate structure.
2. The apparatus of claim 1, wherein:
the charge storage layer is an L-shaped layer.
3. The apparatus of claim 2, wherein:
a horizontal side of the L-shaped layer is formed between the memory gate structure and the substrate; and
a vertical side of the L-shaped layer is formed between the memory gate structure and the control gate structure.
4. The apparatus of claim 1, wherein:
the charge storage layer is a silicon nitride layer.
5. The apparatus of claim 1, wherein:
the top spacer is formed of silicon nitride.
6. The apparatus of claim 1, wherein:
the first spacer is formed of silicon nitride; and
the second spacer is formed of silicon nitride.
7. A device comprising:
a control gate structure and a memory gate structure over a substrate;
an L-shaped charge storage layer formed between the control gate structure and the memory gate structure;
an L-shaped dielectric layer between the L-shaped charge storage layer and the memory gate structure;
a first spacer along a sidewall of the memory gate structure;
a second spacer along a sidewall of the control gate structure; and
a top spacer over the memory gate structure.
8. The device of claim 7, wherein:
the L-shaped dielectric layer is formed of oxide, and wherein the L-shaped dielectric layer is in contact with the L-shaped charge storage layer and the memory gate structure.
9. The device of claim 8, wherein:
a top surface of the L-shaped dielectric layer is substantially level with a top surface of the L-shaped charge storage layer.
10. The device of claim 7, further comprising:
a first drain/source region formed in the substrate and adjacent to the memory gate structure; and
a second drain/source region formed in the substrate and adjacent to the control gate structure.
11. The device of claim 10, further comprising:
a first silicide region on the first drain/source region; and
a second silicide region on the second drain/source region.
12. The device of claim 7, wherein:
a top surface of the L-shaped charge storage layer is substantially level with a top surface of the control gate structure; and
a top surface of the second spacer is substantially level with the top surface of the control gate structure.
13. The device of claim 7, wherein:
top surfaces of the top spacer and the L-shaped charge storage layer are planar and substantially level with each other.
14. A device comprising:
a control gate structure over a substrate;
a memory gate structure over the substrate;
an L-shaped silicon nitride layer formed between the control gate structure and the memory gate structure;
a first spacer along a sidewall of the memory gate structure;
a second spacer along a sidewall of the control gate structure;
a top silicon nitride layer over the memory gate structure;
a first drain/source region formed in the substrate and adjacent to the memory gate structure; and
a second drain/source region formed in the substrate and adjacent to the control gate structure.
15. The device of claim 14, wherein:
a thickness of the first spacer is substantially equal to a thickness of the second spacer.
16. The device of claim 14, further comprising:
an L-shaped oxide layer between the L-shaped silicon nitride layer and the memory gate structure.
17. The device of claim 16, wherein:
top surfaces of the top silicon nitride layer, the L-shaped oxide layer, the L-shaped silicon nitride layer, the control gate structure and the second spacer are planar and substantially level with each other.
18. The device of claim 14, wherein:
the first spacer and the second spacer are formed of silicon nitride.
19. The device of claim 14, wherein:
a bottommost surface of the first spacer is substantially level with a bottommost surface of the second spacer.
20. The device of claim 14, wherein:
a topmost surface of the L-shaped silicon nitride layer is higher than a topmost surface of the memory gate structure.
US15/413,256 2013-12-03 2017-01-23 Memory devices and method of fabricating same Active 2033-12-09 US10665600B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US15/413,256 US10665600B2 (en) 2013-12-03 2017-01-23 Memory devices and method of fabricating same
US16/869,780 US11348935B2 (en) 2013-12-03 2020-05-08 Memory devices and method of fabricating same
US17/826,503 US20220285382A1 (en) 2013-12-03 2022-05-27 Memory Devices and Method of Fabricating Same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/095,588 US9559177B2 (en) 2013-12-03 2013-12-03 Memory devices and method of fabricating same
US15/413,256 US10665600B2 (en) 2013-12-03 2017-01-23 Memory devices and method of fabricating same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/095,588 Division US9559177B2 (en) 2013-12-03 2013-12-03 Memory devices and method of fabricating same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US16/869,780 Continuation US11348935B2 (en) 2013-12-03 2020-05-08 Memory devices and method of fabricating same

Publications (2)

Publication Number Publication Date
US20170133396A1 true US20170133396A1 (en) 2017-05-11
US10665600B2 US10665600B2 (en) 2020-05-26

Family

ID=53265973

Family Applications (4)

Application Number Title Priority Date Filing Date
US14/095,588 Active US9559177B2 (en) 2013-12-03 2013-12-03 Memory devices and method of fabricating same
US15/413,256 Active 2033-12-09 US10665600B2 (en) 2013-12-03 2017-01-23 Memory devices and method of fabricating same
US16/869,780 Active 2033-12-12 US11348935B2 (en) 2013-12-03 2020-05-08 Memory devices and method of fabricating same
US17/826,503 Pending US20220285382A1 (en) 2013-12-03 2022-05-27 Memory Devices and Method of Fabricating Same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US14/095,588 Active US9559177B2 (en) 2013-12-03 2013-12-03 Memory devices and method of fabricating same

Family Applications After (2)

Application Number Title Priority Date Filing Date
US16/869,780 Active 2033-12-12 US11348935B2 (en) 2013-12-03 2020-05-08 Memory devices and method of fabricating same
US17/826,503 Pending US20220285382A1 (en) 2013-12-03 2022-05-27 Memory Devices and Method of Fabricating Same

Country Status (3)

Country Link
US (4) US9559177B2 (en)
KR (2) KR20150064691A (en)
CN (1) CN104681498B (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076681B2 (en) 2013-09-27 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Memory devices and method of fabricating same
US9082651B2 (en) 2013-09-27 2015-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Memory devices and method of forming same
US9559177B2 (en) 2013-12-03 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Memory devices and method of fabricating same
US9391085B2 (en) * 2014-08-08 2016-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned split gate flash memory having liner-separated spacers above the memory gate
US9917165B2 (en) * 2015-05-15 2018-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Memory cell structure for improving erase speed
TWI685948B (en) * 2019-02-01 2020-02-21 力晶積成電子製造股份有限公司 Memory structure and manufacturing method thereof
CN110310953A (en) * 2019-07-03 2019-10-08 上海华虹宏力半导体制造有限公司 A kind of semiconductor device structure and preparation method thereof

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100255670A1 (en) * 2009-04-07 2010-10-07 Nec Electronics Corporation Nonvolatile semiconductor memory and method of manufacturing the same

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6091101A (en) 1998-03-30 2000-07-18 Worldwide Semiconductor Manufacturing Corporation Multi-level flash memory using triple well
JP4904631B2 (en) 2000-10-27 2012-03-28 ソニー株式会社 Nonvolatile semiconductor memory device and manufacturing method thereof
EP1417704B1 (en) * 2001-08-06 2009-02-04 Nxp B.V. Method of manufacturing a non-volatile memory transistor with an access gate on one side of a control gate/floating-gate stack using a spacer
EP1300888B1 (en) 2001-10-08 2013-03-13 STMicroelectronics Srl Process for manufacturing a dual charge storage location memory cell
JP4477886B2 (en) 2003-04-28 2010-06-09 株式会社ルネサステクノロジ Manufacturing method of semiconductor device
JP4746835B2 (en) 2003-10-20 2011-08-10 ルネサスエレクトロニクス株式会社 Nonvolatile semiconductor memory device
JP2007184323A (en) 2006-01-04 2007-07-19 Renesas Technology Corp Semiconductor device and its fabrication process
JP5191633B2 (en) * 2006-04-04 2013-05-08 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
TWI318436B (en) 2006-08-09 2009-12-11 Macronix Int Co Ltd Method of manufacturing nano-crystalline silicon dot layer
US7626224B2 (en) 2006-09-13 2009-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with split gate memory cell and fabrication method thereof
JP2008112934A (en) 2006-10-31 2008-05-15 Oki Electric Ind Co Ltd Semiconductor memory, and its manufacturing method
US7652318B2 (en) 2006-11-03 2010-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Split-gate memory cells and fabrication methods thereof
JP2008166379A (en) 2006-12-27 2008-07-17 Oki Electric Ind Co Ltd Semiconductor storage device and its manufacturing method
JP2009010035A (en) 2007-06-26 2009-01-15 Renesas Technology Corp Nonvolatile semiconductor memory device and manufacturing method thereof
JP2009194221A (en) 2008-02-15 2009-08-27 Renesas Technology Corp Semiconductor device and its production process
JP2010282987A (en) * 2009-06-02 2010-12-16 Renesas Technology Corp Semiconductor device and production method thereof
JP5613506B2 (en) 2009-10-28 2014-10-22 ルネサスエレクトロニクス株式会社 Semiconductor device
JP5538828B2 (en) 2009-11-11 2014-07-02 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
JP5538838B2 (en) * 2009-11-25 2014-07-02 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
JP5592214B2 (en) 2010-09-22 2014-09-17 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
JP5661524B2 (en) 2011-03-22 2015-01-28 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor integrated circuit device
JP2011176348A (en) 2011-04-25 2011-09-08 Renesas Electronics Corp Semiconductor device
JP2013021262A (en) 2011-07-14 2013-01-31 Renesas Electronics Corp Manufacturing method of semiconductor device
US9064803B2 (en) 2011-07-25 2015-06-23 Globalfoundries Singapore Pte. Ltd. Split-gate flash memory exhibiting reduced interference
JP5878797B2 (en) 2012-03-13 2016-03-08 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
JP6026914B2 (en) * 2013-02-12 2016-11-16 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US9082651B2 (en) 2013-09-27 2015-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Memory devices and method of forming same
US9076681B2 (en) 2013-09-27 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Memory devices and method of fabricating same
US9559177B2 (en) 2013-12-03 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Memory devices and method of fabricating same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100255670A1 (en) * 2009-04-07 2010-10-07 Nec Electronics Corporation Nonvolatile semiconductor memory and method of manufacturing the same

Also Published As

Publication number Publication date
KR20160090276A (en) 2016-07-29
CN104681498A (en) 2015-06-03
US20150155293A1 (en) 2015-06-04
US9559177B2 (en) 2017-01-31
US11348935B2 (en) 2022-05-31
US10665600B2 (en) 2020-05-26
KR20150064691A (en) 2015-06-11
CN104681498B (en) 2017-12-05
US20200266205A1 (en) 2020-08-20
KR101992520B1 (en) 2019-06-24
US20220285382A1 (en) 2022-09-08

Similar Documents

Publication Publication Date Title
US9978759B2 (en) Memory devices and method of forming same
US9978603B2 (en) Memory devices and method of fabricating same
US11348935B2 (en) Memory devices and method of fabricating same
US9111867B2 (en) Split gate nanocrystal memory integration
US9711657B2 (en) Silicide process using OD spacers
WO2013022618A1 (en) A non-volatile memory cell having a high k dielectric and metal gate
TWI816028B (en) Process for manufacturing nor memory cell with vertical floating gate
US7645663B2 (en) Method of producing non volatile memory device
US8999833B1 (en) Method and apparatus for controlling gate dimensions of memory devices
TWI690058B (en) Integrated circuit, integrated circuit including a memory device, and method for manufacturing same
US7498222B1 (en) Enhanced etching of a high dielectric constant layer
US7704878B2 (en) Contact spacer formation using atomic layer deposition
US7476604B1 (en) Aggressive cleaning process for semiconductor device contact formation
US20150179818A1 (en) Method of manufacturing nonvolatile semiconductor storage device and nonvolatile semiconductor storage device
US20130026496A1 (en) Semiconductor Device and Manufacturing Method Thereof

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4