CN104576733A - 鳍式场效应晶体管的钝化和晶面形成 - Google Patents

鳍式场效应晶体管的钝化和晶面形成 Download PDF

Info

Publication number
CN104576733A
CN104576733A CN201310719773.0A CN201310719773A CN104576733A CN 104576733 A CN104576733 A CN 104576733A CN 201310719773 A CN201310719773 A CN 201310719773A CN 104576733 A CN104576733 A CN 104576733A
Authority
CN
China
Prior art keywords
fin
layer
substrate
germanium
semiconductor layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310719773.0A
Other languages
English (en)
Other versions
CN104576733B (zh
Inventor
陈彦友
施啟元
刘继文
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN104576733A publication Critical patent/CN104576733A/zh
Application granted granted Critical
Publication of CN104576733B publication Critical patent/CN104576733B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/291Oxides or nitrides or carbides, e.g. ceramics, glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • H01L29/7854Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本发明提供了一种鳍式场效应晶体管(FinFET)及其形成方法。FinFET具有鳍部,鳍部具有在衬底上外延生长的一个或多个半导体层。在鳍部上方形成第一钝化层,并且在鳍部之间形成隔离区。对鳍部的上部进行重塑,并且在重塑后的部分上方形成第二钝化层。此后,可以在鳍部上方形成栅结构,并且可以形成源极/漏极区。

Description

鳍式场效应晶体管的钝化和晶面形成
技术领域
本发明总体涉及半导体,更具体地,涉及鳍式场效应晶体管。
背景技术
随着半导体工业已经发展到纳米技术工艺节点,在追求更高的器件密度、更高的性能和更低的成本的同时,来自制造和设计问题两方的挑战已促成三维设计的开发,诸如,鳍式场效应晶体管(FinFET)。例如,利用通过蚀刻掉衬底中的部分硅层形成从衬底延伸的垂直薄“鳍”(或鳍结构),来制造标准的FinFET。在该垂直鳍部中形成FinFET的沟道。在鳍部上方(例如,环绕)提供栅极。位于沟道两侧的栅极能够从两侧对沟道进行栅极控制。另外,在FinFET的源极/漏极(S/D)部分中的利用选择性生长的硅锗(SiGe)的应变材料可用于增强载流子迁移率。
然而,在制造互补金属氧化物半导体(CMOS)中存在实施这些特征和工艺的挑战。例如,鳍部和浅沟槽隔离(STI)氧化物之间的界面陷阱导致FinFET的高泄漏电流,由此劣化了器件性能。
发明内容
根据本发明的一个方面,提供了一种鳍式场效应晶体管(FinFET),包括:衬底;鳍结构,从衬底凸出,鳍结构包括一个或多个半导体层,每个半导体层的晶格常数都不同于邻接的下层相应的晶格常数;隔离区,与鳍结构的相对侧壁邻近,鳍结构具有在隔离区之上延伸的上部,上部具有倾斜侧壁;第一钝化层,介于鳍结构和隔离区之间;第二钝化层,位于鳍结构的上部的上方;以及栅极结构,覆盖鳍结构的上部。
优选地,该鳍结构包括:位于衬底上方的第一硅锗层。
优选地,该鳍结构包括:位于第一硅锗层上方的锗层。
优选地,隔离区延伸至第一硅锗层和锗层之间的界面。
优选地,该鳍结构包括:位于第一硅锗层上方的第二硅锗层,第一硅锗层的晶格常数与第二硅锗层的晶格常数不同。
优选地,隔离区延伸至第一硅锗层和第二硅锗层之间的界面。
优选地,第一钝化层包括氮氧化物。
优选地,第二钝化层包括氮氧化物。
优选地,一个或多个半导体层包括第一半导体层,并且第二钝化层在第一半导体层的侧壁和上表面上方延伸。
优选地,第一半导体层是硅锗层,而第二钝化层是硅锗氮氧化物层。
根据本发明的另一方面,提供了一种形成鳍式场效应晶体管(FinFET)的方法,该方法包括:提供衬底;形成从衬底延伸的一个或多个鳍部,一个或多个鳍部中的每一个鳍部都具有覆盖衬底的一个或多个半导体层,一个或多个半导体层中的每一层的晶格常数都不同于下方的层的晶格常数;在一个或多个鳍部上方形成第一钝化层;沿着一个或多个鳍部的相对侧壁形成隔离区,一个或多个鳍部在隔离区的最顶面之上延伸;重塑一个或多个鳍部的暴露部分;以及在一个或多个鳍部的经过重塑的暴露部分上方形成第二钝化层。
优选地,形成一个或多个鳍部包括:在衬底上形成一个或多个半导体材料层,一个或多个半导体材料层中的每一层都具有与邻接层不同的晶格常数;以及蚀刻一个或多个半导体材料层,由此形成一个或多个鳍部。
优选地,蚀刻包括:蚀刻部分衬底。
优选地,一个或多个半导体层包括第一半导体层和第二半导体层,隔离区延伸至第一半导体层和第二半导体层之间的界面。
优选地,一个或多个半导体层包括单个半导体层,第二钝化层在单个半导体层的侧壁和顶面上方延伸。
优选地,第一钝化层包括氮氧化物。
优选地,第二钝化层包括氮氧化物。
优选地,一个或多个半导体层包括:位于衬底上的硅锗层和位于硅锗层上的锗层,并且第一钝化层包括硅锗氮氧化物,而第二钝化层包括氮氧化锗。
优选地,一个或多个半导体层包括:位于衬底上的Six1Gey1层和位于Six1Gey1上的Six2Gey2层,x1与x2不同,并且y1与y2不同。
优选地,一个或多个半导体层包括:位于衬底上的硅锗层,第二钝化层沿着硅锗层的侧壁和最顶面延伸。
附图说明
当结合附图进行阅读时,从以下的详细说明可以更好地理解本发明公开的内容。应该强调的是,根据工业中的标准实践,各种部件不必按比例绘制,并且这些部件仅被用于说明的目的。事实上,为了清楚论述起见,可以任意增大或缩小各种部件的尺寸。
图1是示出了根据本发明的各个方面的制造FinFET的方法的流程图;
图2示出了根据本发明的各个方面的包括钝化结构的FinFET的顶视图;
图3至图10是根据本发明的各个实施例的FinFET在各个制造阶段的截面图;
图11至图18是根据本发明的各个其他实施例的FinFET在各个制造阶段的截面图;以及
图19至图26是根据本发明的各个其他实施例的FinFET在各个制造阶段的截面图。
具体实施方式
应当理解,以下公开提供用于实施本发明的不同特征的多个不同的实施例或实例。以下描述了部件和布置的具体实例,以简化本发明。当然,这些仅是实例,并且不用于限制。例如,以下说明书中,在第二特征上方或之上形成第一部件可以包括第一和第二部件通过直接接触形成的实施例,并且还可以包括在第一和第二部件之间可以形成附加部件,使得第一和第二部件可以不直接接触的实施例。另外,在各个实例中,本发明可以重复参考标号和/或字符。这种重复是用于简化和清楚的目的,并且其本身不表示所论述的多个实施例和/或结构之间的关系。
参考图1,示出了根据本发明的各个方面的制造鳍式场效应晶体管(FinFET)的方法100的流程图。方法100开始于步骤102,其中,提供衬底,诸如硅衬底。方法100继续进行步骤104,其中,在衬底上方形成一个或多个半导体层。在一个实施例中,诸如参考以下图3至图10所公开的,一个或多个半导体层包括在衬底上方外延生长的硅锗层和在硅锗层上方外延生长的锗层。在另一个实施例中,诸如参考以下图11至图18所公开的,在衬底上方形成硅锗层(渐变或均匀的)。在又一个实施例中,诸如参考以下图19至图26所公开的,一个或多个半导体层包括具有不同锗浓度的多个硅锗层。
在步骤106中,形成穿过一个或多个半导体层并且到达衬底内的多个沟槽,其中,在相邻的沟槽之间生成鳍部。在步骤108中,在鳍部上方形成诸如氮氧化物层的第一钝化层,之后在步骤110中,通过在沟槽中沉积介电材料来形成隔离区。在步骤112中,重塑鳍部的暴露部分,并且在重塑的鳍部上方形成诸如氮氧化物层的第二钝化层。此后,在步骤114中,形成栅极结构。以下论述中阐述了可以根据图1的方法100制造的FinFET的实施例。
图2示出了根据本发明的各个方面的包括在鳍结构220上方形成的钝化结构230的鳍式场效应晶体管(FinFET)200的顶视图。图3至图26是根据本发明的多个实施例的沿着图2的线a-a截取的FinFET200在各个制造阶段的截面图。如在本发明中采用的,FinFET200是指任何基于鳍部的多栅极晶体管。其他晶体管结构和相似结构都在本发明的预期范围内。FinFET200可以包括在微处理器、存储单元和/或其他集成电路(IC)中。
应当注意,图1的方法不能生成完整的FinFET200。可以使用互补金属氧化物半导体(CMOS)技术工艺制造完整的FinFET200。从而,应当理解,可以在图1的方法100之前、期间和之后,提供附加工艺,并且可在本发明中可对其他工艺仅作简要地描述。而且,简化了图1至图26以更好地理解本发明的概念。例如,虽然图中示出了FinFET200,但是应当理解,IC可以包括多个其他器件,包括电阻器、电容器、电感器和熔丝等。
图2示出了使用图1中的步骤制造的FinFET200。如图中所示,FinFET200包括鳍结构220(虚线)、围绕鳍结构220的钝化结构230和横跨鳍结构220的沟道部分的栅极结构240。如图中所示,FinFET200包括两个鳍部。在一些实施例中,FinFET200可以包括少于或多于两个鳍部,例如,一个鳍部或三个鳍部。
图3至图10示出了根据一个实施例的FinFET器件在制造的中间步骤的各个截面图。首先参考图3和图1中的步骤102,提供衬底202,其中,衬底202包括具有第一晶格常数的第一半导体材料,并且因此在本发明中衬底202还被称为第一半导体材料202。在一个实施例中,衬底202包括晶体硅衬底(例如,晶圆)。在可选实施例中,衬底202包括绝缘体上硅(SOI)结构。根据设计需求,衬底202可以包括多个掺杂区(例如,p型衬底或n型衬底)。在一些实施例中,掺杂区可以掺有p型或n型掺杂剂。例如,掺杂区可以掺有诸如硼或BF2的p型掺杂剂;诸如磷或砷的n型掺杂剂;和/或它们的组合。掺杂区可以被配置成n型FinFET,或者可选地被配置成p型FinFET。
继续参考图3,在硅衬底202上方外延生长(图1中的步骤104)第二半导体材料204(诸如,硅锗层204),其中,第二半导体材料204具有大于第一晶格常数的第二晶格常数。例如,在一个实施例中,衬底202可以是硅晶圆,而第二半导体材料204是硅锗层。在该实例中,硅锗层具有约25%至约75%的锗,并且可以具有应变的或完全松弛的表面。另外,第二半导体材料204可以是具有例如均匀锗浓度的均匀层或者是锗浓度变化的渐变层。
在一个实施例中,通过诸如低压CVD(LPCVD)的化学汽相沉积(CVD)工艺,选择性地生长第二半导体材料204(诸如,硅锗层)。在一个实施例中,例如,使用SiH4、Si2H6等作为硅前体并且GeH4、Ge2H6等作为锗前体,在约350℃至约800℃的温度下并且在约1毫托至约760托的压力下,实施LPCVD工艺。在一些实施例中,硅锗层204的厚度介于约10nm至约50nm的范围之间。
继续参考图3,在第二半导体材料204上方外延生长(图1中的步骤104)第三半导体材料206(诸如,锗层),其中,第三半导体材料206具有大于第二晶格常数的第三晶格常数。这样,第二晶格常数介于第一晶格常数和第三晶格常数之间。在一个实施例中,第三半导体材料206包括通过LPCVD工艺选择性生长的锗层。在一个实施例中,使用GeH4或Ge2H6作为前体,在约200℃至约700℃的温度下并且在约1毫托至约760托的压力下,实施LPCVD工艺。在一些实施例中,第三半导体材料206的厚度介于约10nm至约50nm的范围之间。在一个实施例中,第三半导体材料206的表面完全松弛。
为了方便起见,第二半导体材料204在本发明中还被称为硅锗层204,并且第三半导体材料206在本发明中还被称为锗层206。然而,应当注意的是,在其他实施例中可以利用其他材料,诸如,其他Ⅲ-Ⅴ族材料、SiC等。
图4至图5示出了根据一个实施例在衬底202、硅锗层204和锗层206内形成多个沟槽(诸如,图5中的沟槽210),这类似于参考以上图1中的步骤106所述。首先参考图4,示出了限定开口208a的图案化的掩模208。在一个实施例中,图案化的掩模208是已经被沉积、曝光和显影的光刻胶层。还可以使用其他掩蔽层,诸如,氧化物和/或氮化物硬掩模层。
然后,蚀刻暴露的锗层206,以形成多个沟槽210。在一些实施例中,多个沟槽210延伸穿过锗层206、硅锗层204,并且进入硅衬底202内。在一些实施例中,沟槽210可以是相互平行的带部(从FinFET200的顶部观察),并且相互之间间距较小。在一些实施例中,沟槽210可以是连续的,并且围绕剩余的锗层206和剩余的硅锗层204。在一些实施例中,使用CF4、HBr、CH3F、SF6、它们的混合物等作为蚀刻气体、诸如He等的载气和诸如O2等的钝化气体来实施蚀刻工艺。在一个实施例中,工艺气体可以是蚀刻气体、载气和钝化气体的组合,并且可以用于蚀刻Ge、SiGe和Si材料,尽管蚀刻速率不同。在一个实施例中,沟槽210可以具有约20nm至约120nm的深度D1。
在描述的实施例中,位于沟槽210之间的剩余锗层206、剩余硅锗层204以及剩余硅衬底202形成鳍结构220(在图5中示出)。而且,剩余锗层206在下文中被称为上鳍部220u。剩余硅锗层204在下文中被称为中间鳍部220m。位于沟槽210之间的衬底202的凸出部分在下文中被称为下鳍部220l。
同样地,鳍结构220包括:下鳍部220l,包括具有第一晶格常数的第一半导体材料202;中间鳍部220m,包括具有大于第一晶格常数的第二晶格常数的第二半导体材料204;以及上鳍部220u,包括具有大于第一晶格常数和第二晶格常数的第三晶格常数的第三半导体材料206,其中,中间鳍部220m位于下鳍部220l和上鳍部220u之间。在描述的实施例中,存在从衬底202延伸的三个鳍部。
去除图案化的掩模208并且实施清洁工艺。在一个实施例中,可以使用包括H2SO4和H2O2的稀释混合液的SPM清洁。另外,例如,可以使用稀氟氢酸(DHF)实施清洁工艺,去除硅衬底202的自然氧化物。
至此,工艺步骤已经提供了具有围绕鳍结构220的沟槽210的衬底202。通常,通过在沟槽210中引入诸如浅沟槽隔离(STI)氧化物的介电材料,使鳍结构220的每一个鳍部都与相邻的鳍部隔离。然而,形成介电材料的步骤可能会在鳍部和介电材料之间产生界面陷阱。所生成的界面陷阱可能会在鳍部和介电材料之间提供载流子传输路径,并且将导致FinFET的高泄漏电流,由此会降低器件性能。
因此,以下论述的工艺在鳍结构220的暴露表面上形成钝化结构,以防止在鳍部和STI氧化物之间生成界面陷阱。可以减少和/或避免与高界面陷阱引起的高泄漏电流相关的问题。从而,在本发明中公开的实施例可以实现期望的器件性能特征,诸如,低泄漏。
如图6中所示并且参考以上图1中的步骤108所述,在鳍结构220的暴露表面上形成钝化结构,以增强器件性能。在一个实施例中,钝化结构230是通过氧化和氮化工艺形成的氮氧化物。如以上进行的论述,鳍结构220可以由不同材料(诸如,硅衬底202、硅锗层204和锗层206)形成。氧化和氮化工艺可以与这些材料不同地反应,例如,在硅衬底202上形成氮氧化硅(SiON)层,在硅锗层204上形成硅锗氮氧化物(SiGeON)以及在锗层206上形成氮氧化锗(GeON)。第一钝化层可以具有约0.5nm至约5nm的厚度。
因此,图6示出了包括位于硅衬底202上方的下钝化部230l、位于硅锗层204上方的中间钝化部230m和位于锗层206上方的上钝化部230u的第一钝化层230。由于材料不同,因此,位于硅衬底202上方的下钝化部230l是SiON层,位于硅锗层204上方的中间钝化部230m是SiGeON层,而位于锗层206上方的上钝化部230u是GeON层。
这样,位于鳍结构220上方的第一钝化层230包括:下钝化部230l,位于下鳍部220l上方,包括第一半导体材料202的氮氧化物;上钝化部分230u,位于上鳍部220u上方,包括第三半导体材料206的氮氧化物;以及中间钝化部230m,位于下钝化部230l和上钝化部230u之间,其中,位于中间鳍部220m上方的中间钝化部230m包括第二半导体材料204的氮氧化物。
第一钝化层230用作鳍部220和随后形成的绝缘层(诸如,图7中的STI氧化物212)之间的缓冲层,以防止生成界面陷阱。可以减少和/或避免与由于高界面陷阱引起的高泄漏电流相关的问题,由此实现更好的性能特征,诸如,低泄漏。
在所描述的实施例中,通过氧化工艺,之后通过氮化工艺来实施在鳍结构220上方形成第一钝化层230的步骤。在一些实施例中,氧化工艺包括快速热氧化(RTO)工艺、高压氧化(HPO)、化学氧化工艺、原位水汽生成(ISSG)工艺或增强的原位水汽生成(EISSG)工艺。在一些实施例中,将O2和O3用作反应气体,在约400℃至约700℃的温度下,执行RTO工艺,持续时间为约1秒至约30秒。在其他实施例中,使用O2、O2+N2、N2等的工艺气体,在约1atm至约25atm的压力和约300℃至约700℃的温度下,实施HP,持续时间为约1分钟至约180分钟。化学氧化工艺的实例包括湿SPM清洁、湿O3/H2O等。O3可以具有约1ppm至约50ppm的浓度。
在一些实施例中,氮化工艺包括快速热氮化(RTN)工艺、高压氮化(HPN)或去耦等离子体氮化(DPN)工艺。在一些实施例中,将NH3用作反应气体,在约400℃至约800℃的温度下,实施RTN工艺,持续时间为约1秒至约180秒。在一些实施例中,使用NH3的工艺气体,在约1atm至约25atm的压力和约300℃至约700℃的温度下,实施HPN,持续时间约1分钟至约180分钟。在一些实施例中,将N2、NH3、N2+Ar、N2+He、NH3+Ar等工艺气体用作工艺气体,在约300瓦特至约2250瓦特的功率下,实施DPN工艺。
在一些实施例中,在DPN工艺之后,实施氮化后退火(PNA)工艺。在一些实施例中,将N2用作退火气体,在约400℃至约700℃的温度下,实施PNA,持续时间约1秒至约180秒。PNA工艺使得GeO与N反应,以达到稳定状态,以及将N驱至更深处,以产生更均匀的层。
可以通过调节工艺条件(诸如,调节等离子体功率或温度)来控制氮氧化物层(例如,SiOxNy、SiGeOxNy和GeOxNy)中y:x(N:O)的比率,以用于特定应用。在一些实施例中,y:x的比率介于约0.25至约0.90之间。
在一些实施例中,在氮化工艺期间,第二半导体材料204中的一些元素(诸如,硅锗层204中的锗)可以扩散至第一半导体材料202(诸如,硅衬底202)内。这样,中间钝化部230m可以沿着位于下鳍部220l上方的一部分延伸。在一些实施例中,如图6中所示,中间钝化部230m的第一高度H1等于或大于中间鳍部220m的第二高度H2。在一些实施例中,第一高度H1与第二高度H2的比率介于约1至约1.2之间。
图7示出了在沟槽210中形成介电材料212之后所形成的结构,诸如参考以上图1的步骤110所述。介电材料212可以包括氧化硅,因此在本发明中,其还被称为STI氧化物212。在一些实施例中,还可以使用其他介电材料,诸如,氮化硅、氮氧化硅、掺氟硅酸盐玻璃(FSG)或低K介电材料。在一些实施例中,可以使用旋涂介电质(诸如,氢硅酸盐类(HSQ)或甲基倍半硅氧烷(MSQ))(SOD)工艺形成STI氧化物212。在其他实施例中,可以使用高密度等离子体(HDP)CVD工艺,将硅烷(SiH4)和氧(O2)作为反应前体形成STI氧化物212。在其他实施例中,可以使用次大气压CVD(SACVD)工艺或高纵横比工艺(HARP)形成STI氧化物212,其中,工艺气体可以包括正硅酸乙酯(TEOS)和臭氧(O3)。
在一个实施例中,STI氧化物212被形成为厚度大于鳍部220的高度,随后使用平坦化工艺和蚀刻工艺形成凹槽。诸如CMP的平坦化工艺将STI氧化物212削减至第一钝化层230的上表面。随后的蚀刻工艺降低STI氧化物212的高度以露出鳍部220的部分侧壁,从而形成凹槽214。
在一些实施例中,可以使用湿蚀刻工艺(例如,通过将衬底202浸渍在稀释的氢氟酸(HF)中)实施蚀刻步骤。在一些实施例中,可以使用蒸汽蚀刻工艺实施蚀刻步骤,例如,可以将HF用作蚀刻气体来实施蒸汽蚀刻工艺。
在一个实施例中,使STI氧化物212凹进,使得STI氧化物212的上表面位于上鳍部220u和中间鳍部220m之间的界面处或界面下方。在一个实施例中,暴露的鳍部的高度H3为约10nm至约50nm,并且宽度W3为约6nm至约20nm。
现在参考图8,根据一个实施例并且如参考以上图1的步骤112所述,实施鳍部重塑工艺。重塑工艺使得沿着鳍部220的表面暴露出多个晶面。例如,在一个实施例中,鳍部220的上表面可以具有(001)的晶向,而鳍部220的侧壁可以具有(110)的晶向。在这些晶向之间,鳍结构还可以呈现(113)和(111)的晶向。与未塑形的鳍部相比,通过重塑鳍部,可以获得更高的迁移率。在上鳍部220u包括锗的实施例中,可以使用热氨水的湿蚀刻,在20℃至约100℃的温度下,实施重塑。这种蚀刻工艺去除了上钝化部230u,并且重塑上鳍部220u。由于重塑工艺,鳍部220的暴露部分呈现出倾斜侧壁。
图9示出了根据一个实施例并且如参考以上图1的步骤112所述的第二钝化工艺。在描述的实施例中,第二钝化工艺生成在重塑的鳍部、上鳍部220u的暴露表面上形成的第二钝化层910。第二钝化工艺可以使用与参考以上图6论述的第一钝化工艺相似的工艺。第二钝化层910可以具有约0.5nm至约5nm的厚度。
在一些实施例中,第二钝化层910包括GeOxNy,其中,y:x(N:O)的比率为约0.25至约0.9。已经发现诸如这样的比率允许约1E10/cm2的Dit(界面陷阱密度,即每cm2中自由键浓度的测量值),然而在没有第二钝化层的情况下,Dit可能少于1E12,诸如,约1E11。
此外,沿着上鳍部220u的顶面的第二钝化层的y:x比率可以被调节为与沿着上鳍部220u的侧壁的第二钝化层的y:x比率不同。第二钝化层中氮氧化物的y:x比率可以通过调节工艺条件(诸如,等离子体功率或温度)来控制。在一些实施例中,沿着上鳍部220u的上表面的第二钝化层910的第一y:x比率等于或大于沿着上鳍部220u的侧壁的第二钝化层910的第二y:x比率。在一些实施例中,第一比率与第二比率的比值为约1至约1.3。在一些实施例中,较高的y:x比率可能导致较低的蚀刻速率或较低的热效应。
此后,可以实施附加工艺。例如,图10示出了在部分的鳍部220上方形成栅极结构912。部分的上鳍部220u包括沿着栅电极912b的任意一侧的源极/漏极(S/D)区,并且沟道区在栅电极912下方的S/D区之间延伸。在一些实施例中,栅极结构912包括如图10中所示的栅极介电质912a和栅电极912b。
如图10所示,第一钝化层和/或第二钝化层位于鳍结构220上方,以防止在鳍部220与STI氧化物212或者与另一个覆盖介电质(例如,层间介电质)之间生成界面陷阱,可以减少和/或避免与由于高界面陷阱导致的高泄漏电流相关的问题,从而获得增强的器件性能特征,诸如,低泄漏。
应当理解,FinFET200可以进行进一步的工艺。例如,可以实施CMOS工艺,以形成各种部件,诸如,接触件/通孔、互连金属层、介电层和钝化层等。
图11至图18示出了另一个实施例的各个中间工艺步骤。如上所述,图3至图10示出了鳍部220的实施例,其中鳍部220包括下鳍部220l、中间鳍部220m以及上鳍部220u,每个鳍部都具有不同的组成。如下所述,图11至图18示出了由两种不同材料形成,而不是由图3至图10所示的三种不同材料形成的鳍部的实施例。在合适的情况下,参考以上论述的结构和工艺,其中,除非另有标注,否则相似的参考标号代表相似的元件。
首先参考图11,示出了其上形成有第二半导体材料204(还被称为硅锗层204)的衬底202。如上所述,衬底202可以由硅形成,而第二半导体材料204可以由硅锗形成,其中,可以使用与以上参考图3所述的工艺相似的工艺。
在这一实施例中,硅锗层204可以外延生长至约20nm至约50nm的厚度。硅锗层204可呈现应变表面,并且可具有约25%至约75%的锗浓度。
图12和图13示出衬底202和硅锗层204的图案化,由此形成介于鳍部220之间的沟槽210。在如图13中所示的本实施例中,鳍部220包括下鳍部220l和上鳍部220u。在一个实施例中,下鳍部220l由硅衬底形成,并且可以以与以上参考图4和图5所述的下鳍部220l相似的方式图案化,而上鳍部220u由硅锗材料形成,并且可以以与以上参考图4和图5所述的中间鳍部220m(也由硅锗形成)相似的方式图案化。注意,为了方便起见,图13至图18提及上鳍部220u,但是该上鳍部220u的材料可以与图5至图10中提及的上鳍部220u的材料不同。应当注意,可以使用其他材料。在一个实施例中,沟槽的深度D1为约20nm至约120nm。
图14示出了根据一个实施例的形成第一钝化层230的第一钝化工艺。可以使用与以上参考图6所述的那些工艺相似的工艺。在一个实施例中,第一钝化层230包括氮氧化物。例如,在下鳍部220l包括硅衬底的实施例中,可以由氮氧化硅形成下钝化部230l,并且在上鳍部220u包括硅锗的实施例中,可以由硅锗氮氧化物形成上钝化部230u。
图15示出了根据一个实施例的在沟槽210(参见图14)中形成STI氧化物212,然后形成凹槽214。可以使用与以上参考图7所述的那些工艺相似的工艺。在一个实施例中,鳍部220在STI氧化物212的上表面之上的高度H3为约10nm至约50nm,而宽度W3为约6nm至约20nm。
图16示出了鳍部重塑工艺,并且图17示出了根据一个实施例的形成第二钝化层910的第二钝化工艺。可以使用与以上参考图8和图9所述的那些工艺相似的工艺。
此后,可以实施附加工艺。例如,图18示出了形成在鳍部220的各部分上方的栅极结构912。可以使用与以上参考图10所述相似的工艺和结构。
图19至图26示出了另一个实施例的各个中间工艺步骤。如上所述,图3至图10示出了鳍部220包括下鳍部220l、中间鳍部220m和上鳍部220u的实施例,其中,每个鳍部都具有不同的组成,而图11至图18示出了鳍部220包括下鳍部220l和上鳍部220u的实施例。如下所述,图19至图26示出了鳍部由两种不同材料形成的实施例,其中,一种元素的元素浓度变化,而不是由如图3至图10中所示的三种不同材料和由如图11至图18中所示的两种不同材料形成。在合适的情况下,对上述的结构和工艺做出参考,其中,除非另有标注,否则相似的参考标号代表相似的元件。
首先参考图19,示出了其上形成有第二半导体材料204(也被称为硅锗层204)的衬底202。如上所述,衬底202可以由硅形成,而第二硅锗材料204可以由硅锗形成,其中,可以使用与以上参考图3所述相似的工艺。
在这一实施例中,硅锗层204包括第一硅锗层(Six1Gey1)204a和第二硅锗层(Six2Gey2)204b,其中,相对锗浓度在第一硅锗层204a和第二硅锗层204b之间不同。第一硅锗层204a可以用作第二硅锗层204b的缓冲层,以降低缺陷,并且控制第二硅锗层204b的表面中的应变。在一个实施例中,y1小于y2,由此在第二硅锗层204b的表面中产生压缩应变。在一个实施例中,y2小于y1,由此在第二硅锗层204b的表面中产生拉伸应变。
在一个实施例中,第一硅锗层204a可以外延生长至约20nm至约50nm的厚度,并且第二硅锗层204b可以外延生长至约20nm至约50nm的厚度。
图20和图21示出了衬底202、第一硅锗层204a和第二硅锗层204b的图案化,由此形成介于鳍部220之间的沟槽210。在示出的实施例中,鳍部220包括分别由衬底202、第一硅锗层204a和第二硅锗层204b形成的下鳍部220l、中间鳍部220m以及上鳍部220u。应当注意,为了方便起见,图21至图26提及了中间鳍部220m和上鳍部220u,但是图21至图26的中间鳍部220m和上鳍部220u的材料可以与图5至图10中提到的中间鳍部220m和上鳍部220u的材料不同。在衬底202是硅衬底的实施例中,可如参考以上图4和图5所述来蚀刻衬底202。在第一硅锗层204a和第二硅锗层204b是由硅锗形成的实施例中,可以用与以上参考图4和图5所述的硅锗层相似的方式蚀刻第一硅锗层204a和第二硅锗层204b。在一个实施例中,沟槽的深度D1为约20nm至约120nm。
图22示出了根据一个实施例的形成第一钝化层230的第一钝化工艺。可以使用与以上参考图6所述的那些工艺相似的工艺。在本实施例中,第一钝化工艺形成下钝化部230l、中间钝化部230m和上钝化部220u,但是这一实施例的中间钝化部230m和上钝化部230u可以对应于与图6的中间钝化部230m和上钝化部230u的材料不同的材料。在本实施例中,SiGeON层中的Ge浓度可以根据第一硅锗层204a和第二硅锗层204b中的Ge浓度而变化。例如,位于第一硅锗层204a上方的上钝化部220u可以表示为Six2Gey2ON,而位于衬底202上方的中间钝化部220m可以表示为Six1Gey1ON。
图23示出了根据一个实施例的在沟槽210(参见图22)中形成STI氧化物212,然后生成凹槽214。可以使用与以上参考图7所述的那些工艺相似的工艺。在一个实施例中,鳍部220在STI氧化物的上表面之上的高度H3为约20nm至约50nm。
图24示出了了鳍部重塑工艺,而图25示出了根据一个实施例的形成第二钝化层910的第二钝化工艺。可以使用与以上参考图8和图9论述的那些工艺相似的工艺。
此后,可以实施附加工艺。例如,图26示出了在鳍部220的各部分上方形成的栅极结构912。可以使用与以上参考图10所述相似的工艺和结构。
可以选择上述实施例以用于具体应用。例如,使用Ge鳍的实施例可能更好地适用于N-FET,反之SiGe可能更好地适用于P-FET。可以选择特定实施例来实现期望的应变和缺陷控制。而且,可以调节SiGe中Ge的百分比以获得期望应变。
在一个实施例中,提供了一种FinFET。FinFET包括衬底和从衬底凸出的鳍结构,该鳍结构包括一个或多个半导体层,每个半导体层都具有与紧邻的下层对应的不同的晶格常数。隔离区邻近鳍结构的相对侧壁,鳍结构具有在隔离区之上延伸的上部,并且上部具有倾斜侧壁。第一钝化层介于鳍结构和隔离区之间,而第二钝化层位于鳍结构的上部。栅极结构覆盖鳍结构的上部。例如,鳍结构可以包括位于硅衬底上的硅锗层和位于硅锗层上的锗层。在其他实施例中,鳍结构可以包括位于硅衬底上的硅锗层。在又一个实施例中,鳍结构可以包括位于硅衬底上并且具有不同锗浓度的多个硅锗层。
在又一个实施例中,提供了一种形成FinFET的方法。该方法包括:提供衬底,并且形成从衬底延伸的一个或多个鳍部,一个或多个鳍部中的每一个鳍部都具有覆盖衬底的一个或多个半导体层,而一个或多个半导体层中的每一层都具有与下层的晶格常数不同的晶格常数。在一个或多个鳍部上方形成第一钝化层,并且沿着一个或多个鳍部的相对侧壁形成隔离区,使得一个或多个鳍部在隔离区的最顶面之上延伸。对一个或多个鳍部的暴露部分实施重塑工艺,并且在一个或多个鳍部的重塑后的暴露部分的上方形成第二钝化层。
虽然已经通过实例并且根据优选实施例描述了本发明,但是将理解,本发明不限制于所公开的实施例。相反,本发明预期覆盖多种修改和相似布置(它们对本领域普通技术人员而言是显而易见的)。从而,所附权利要求的范围应该与最广泛解释相一致,以包括所有这种修改和相似布置。

Claims (10)

1.一种鳍式场效应晶体管(FinFET),包括:
衬底;
鳍结构,从所述衬底凸出,所述鳍结构包括一个或多个半导体层,每个所述半导体层的晶格常数都不同于邻接的下层相应的晶格常数;
隔离区,与所述鳍结构的相对侧壁邻近,所述鳍结构具有在所述隔离区之上延伸的上部,所述上部具有倾斜侧壁;
第一钝化层,介于所述鳍结构和所述隔离区之间;
第二钝化层,位于所述鳍结构的所述上部的上方;以及
栅极结构,覆盖所述鳍结构的所述上部。
2.根据权利要求1所述的FinFET,其中,所述鳍结构包括:位于所述衬底上方的第一硅锗层。
3.根据权利要求1所述的FinFET,其中,所述第一钝化层包括氮氧化物。
4.根据权利要求1所述的FinFET,其中,所述第二钝化层包括氮氧化物。
5.根据权利要求1所述的FinFET,其中,所述一个或多个半导体层包括第一半导体层,并且所述第二钝化层在所述第一半导体层的侧壁和上表面上方延伸。
6.一种形成鳍式场效应晶体管(FinFET)的方法,所述方法包括:
提供衬底;
形成从所述衬底延伸的一个或多个鳍部,所述一个或多个鳍部中的每一个鳍部都具有覆盖所述衬底的一个或多个半导体层,所述一个或多个半导体层中的每一层的晶格常数都不同于下方的层的晶格常数;
在所述一个或多个鳍部上方形成第一钝化层;
沿着所述一个或多个鳍部的相对侧壁形成隔离区,所述一个或多个鳍部在所述隔离区的最顶面之上延伸;
重塑所述一个或多个鳍部的暴露部分;以及
在所述一个或多个鳍部的经过重塑的所述暴露部分上方形成第二钝化层。
7.根据权利要求6所述的方法,其中,形成所述一个或多个鳍部包括:
在所述衬底上形成一个或多个半导体材料层,所述一个或多个半导体材料层中的每一层都具有与邻接层不同的晶格常数;以及
蚀刻所述一个或多个半导体材料层,由此形成所述一个或多个鳍部。
8.根据权利要求7所述的方法,其中,所述蚀刻包括:蚀刻部分所述衬底。
9.根据权利要求6所述的方法,其中,所述一个或多个半导体层包括第一半导体层和第二半导体层,所述隔离区延伸至所述第一半导体层和所述第二半导体层之间的界面。
10.根据权利要求6所述的方法,其中,所述一个或多个半导体层包括单个半导体层,所述第二钝化层在所述单个半导体层的侧壁和顶面上方延伸。
CN201310719773.0A 2013-10-10 2013-12-23 鳍式场效应晶体管的钝化和晶面形成 Active CN104576733B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/051,033 US9287262B2 (en) 2013-10-10 2013-10-10 Passivated and faceted for fin field effect transistor
US14/051,033 2013-10-10

Publications (2)

Publication Number Publication Date
CN104576733A true CN104576733A (zh) 2015-04-29
CN104576733B CN104576733B (zh) 2019-09-24

Family

ID=52737824

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310719773.0A Active CN104576733B (zh) 2013-10-10 2013-12-23 鳍式场效应晶体管的钝化和晶面形成

Country Status (4)

Country Link
US (5) US9287262B2 (zh)
KR (1) KR101629085B1 (zh)
CN (1) CN104576733B (zh)
DE (1) DE102013112389B4 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106486547A (zh) * 2015-08-31 2017-03-08 台湾积体电路制造股份有限公司 半导体装置结构及其制造方法
CN107154358A (zh) * 2016-03-02 2017-09-12 格罗方德半导体公司 Srb弹性松弛的方法及结构
CN107660311A (zh) * 2015-06-24 2018-02-02 英特尔公司 在替代沟道finfet中的子鳍状物侧壁钝化
CN107680940A (zh) * 2016-08-02 2018-02-09 台湾积体电路制造股份有限公司 Finfet及其形成方法
CN107799458A (zh) * 2016-08-31 2018-03-13 东京毅力科创株式会社 自对准多重图案化的原位间隔件整形的方法和系统
CN111630664A (zh) * 2017-11-21 2020-09-04 朗姆研究公司 用于形成鳍式场效晶体管的单等离子体室中的原子层沉积及蚀刻
CN113675218A (zh) * 2020-05-14 2021-11-19 上海功成半导体科技有限公司 Fd-soi衬底结构及器件结构
WO2021255559A1 (en) * 2020-06-15 2021-12-23 International Business Machines Corporation Precise bottom junction formation for vertical transport field effect transistor with highly doped epitaxial source/drain, sharp junction gradient, and/or reduced parasitic capacitance

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9362386B2 (en) 2013-02-27 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods for forming the same
US8987791B2 (en) 2013-02-27 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9059244B2 (en) * 2013-10-15 2015-06-16 International Business Machines Corporation Fabricating shallow-trench isolation semiconductor devices to reduce or eliminate oxygen diffusion
KR102130056B1 (ko) * 2013-11-15 2020-07-03 삼성전자주식회사 핀 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 제조 방법
US9117875B2 (en) * 2014-01-15 2015-08-25 Globalfoundries Inc. Methods of forming isolated germanium-containing fins for a FinFET semiconductor device
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
US9306067B2 (en) 2014-08-05 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Nonplanar device and strain-generating channel dielectric
US10504893B2 (en) * 2014-08-29 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device with protection layer
US9543382B1 (en) * 2015-03-19 2017-01-10 Altera Corporation FinFET with improved SEU performance
US9536775B2 (en) * 2015-05-29 2017-01-03 International Business Machines Corporation Aspect ratio for semiconductor on insulator
KR102449901B1 (ko) 2015-06-23 2022-09-30 삼성전자주식회사 집적회로 소자 및 그 제조 방법
KR102352157B1 (ko) 2015-09-01 2022-01-17 삼성전자주식회사 집적회로 소자
US10978568B2 (en) 2015-09-25 2021-04-13 Intel Corporation Passivation of transistor channel region interfaces
US9570443B1 (en) 2015-11-23 2017-02-14 International Business Machines Corporation Field effect transistor including strained germanium fins
EP3182461B1 (en) * 2015-12-16 2022-08-03 IMEC vzw Method for fabricating finfet technology with locally higher fin-to-fin pitch
US9966253B2 (en) * 2016-02-25 2018-05-08 International Business Machines Corporation Forming nanotips
US10580658B2 (en) * 2016-04-13 2020-03-03 Tokyo Electron Limited Method for preferential oxidation of silicon in substrates containing silicon and germanium
TWI686850B (zh) * 2016-05-19 2020-03-01 聯華電子股份有限公司 半導體裝置及其製作方法
US10181526B2 (en) 2016-06-02 2019-01-15 Samsung Electronics Co., Ltd. Field effect transistor including multiple aspect ratio trapping structures
US10083871B2 (en) * 2016-06-09 2018-09-25 International Business Machines Corporation Fabrication of a vertical transistor with self-aligned bottom source/drain
US9865730B1 (en) * 2016-10-31 2018-01-09 International Business Machines Corporation VTFET devices utilizing low temperature selective epitaxy
US10134870B2 (en) 2016-11-28 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method of manufacturing the same
US10361130B2 (en) * 2017-04-26 2019-07-23 International Business Machines Corporation Dual channel silicon/silicon germanium complementary metal oxide semiconductor performance with interface engineering
US10354997B2 (en) * 2017-04-28 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device with replacement gates
US10515952B2 (en) * 2017-08-04 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US10497577B2 (en) * 2017-08-31 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method
US10714394B2 (en) * 2017-09-28 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Fin isolation structures of semiconductor devices
US10867859B2 (en) * 2017-11-17 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having isolation structures with liners
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10256301B1 (en) * 2018-01-17 2019-04-09 International Business Machines Corporation Nanosheet isolated source/drain epitaxy by surface treatment and incubation delay
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
KR102465356B1 (ko) 2018-02-09 2022-11-10 삼성전자주식회사 반도체 소자
US11355339B2 (en) * 2018-06-29 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Forming nitrogen-containing layers as oxidation blocking layers
US11211479B2 (en) * 2018-08-14 2021-12-28 Taiwan Semiconductor Manufaciuring Co., Ltd. Method of fabricating trimmed fin and fin structure
US10665665B2 (en) * 2018-10-22 2020-05-26 Micron Technology, Inc. Passivation material for a pillar adjacent a trench
TWI773873B (zh) * 2019-01-22 2022-08-11 聯華電子股份有限公司 半導體元件及其製作方法
KR20210047688A (ko) * 2019-10-22 2021-04-30 삼성전자주식회사 집적회로 장치 및 그 제조 방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040219722A1 (en) * 2003-05-01 2004-11-04 Pham Daniel T. Method for forming a double-gated semiconductor device
US20050145932A1 (en) * 2003-02-19 2005-07-07 Park Tai-Su Vertical channel field effect transistors having insulating layers thereon and methods of fabricating the same
CN1645629A (zh) * 2004-01-17 2005-07-27 三星电子株式会社 至少五侧面沟道型鳍式场效应晶体管及其制造方法
CN101924133A (zh) * 2009-04-14 2010-12-22 台湾积体电路制造股份有限公司 鳍式fet及其形成方法
US20110175149A1 (en) * 2003-05-28 2011-07-21 Jung-Hwan Kim Semiconductor Device and Method of Fabricating the Same
CN102832236A (zh) * 2011-06-16 2012-12-19 台湾积体电路制造股份有限公司 应变沟道的场效应晶体管

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
JP2003273206A (ja) * 2002-03-18 2003-09-26 Fujitsu Ltd 半導体装置とその製造方法
KR100552058B1 (ko) * 2004-01-06 2006-02-20 삼성전자주식회사 전계 효과 트랜지스터를 갖는 반도체 소자 및 그 제조 방법
US7385247B2 (en) * 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
KR100526889B1 (ko) * 2004-02-10 2005-11-09 삼성전자주식회사 핀 트랜지스터 구조
KR100672826B1 (ko) * 2004-12-03 2007-01-22 삼성전자주식회사 핀 전계 효과 트랜지스터 및 그 제조방법
KR100578818B1 (ko) * 2005-02-24 2006-05-11 삼성전자주식회사 핀 전계 효과 트랜지스터 및 이의 형성 방법
KR20070000758A (ko) 2005-06-28 2007-01-03 주식회사 하이닉스반도체 수직 채널을 갖는 전계 효과 트랜지스터의 제조방법
KR100657969B1 (ko) * 2005-08-30 2006-12-14 삼성전자주식회사 한 쌍의 핀-타입 채널 영역들에 대응하는 단일 게이트전극을 갖는 반도체 소자의 제조 방법
US7723805B2 (en) * 2006-01-10 2010-05-25 Freescale Semiconductor, Inc. Electronic device including a fin-type transistor structure and a process for forming the electronic device
US7666741B2 (en) * 2006-01-17 2010-02-23 International Business Machines Corporation Corner clipping for field effect devices
KR100831390B1 (ko) * 2006-11-25 2008-05-21 경북대학교 산학협력단 고집적 플래시 메모리 소자 및 그 제조 방법
KR100836761B1 (ko) * 2006-12-08 2008-06-10 삼성전자주식회사 핀 전계 효과 트랜지스터 및 그 제조방법
US7696040B2 (en) * 2007-05-30 2010-04-13 International Business Machines Corporation Method for fabrication of fin memory structure
US8440517B2 (en) * 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8362575B2 (en) * 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
TWM374222U (en) 2009-09-29 2010-02-11 jin-cheng Gao Portable electronic charging module for replaceable plug connector
US8101486B2 (en) * 2009-10-07 2012-01-24 Globalfoundries Inc. Methods for forming isolated fin structures on bulk semiconductor material
US9112052B2 (en) * 2009-10-14 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Voids in STI regions for forming bulk FinFETs
US8519481B2 (en) * 2009-10-14 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Voids in STI regions for forming bulk FinFETs
US9953885B2 (en) * 2009-10-27 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. STI shape near fin bottom of Si fin in bulk FinFET
US20110140229A1 (en) * 2009-12-16 2011-06-16 Willy Rachmady Techniques for forming shallow trench isolation
KR101649965B1 (ko) * 2010-02-16 2016-08-24 삼성전자주식회사 반도체 소자
US8575653B2 (en) * 2010-09-24 2013-11-05 Intel Corporation Non-planar quantum well device having interfacial layer and method of forming same
JP5431372B2 (ja) * 2011-01-05 2014-03-05 株式会社東芝 半導体装置およびその製造方法
US8618556B2 (en) * 2011-06-30 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design and method of fabricating same
US8946829B2 (en) * 2011-10-14 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Selective fin-shaping process using plasma doping and etching for 3-dimensional transistor applications
KR101821672B1 (ko) * 2011-12-23 2018-01-24 인텔 코포레이션 비평면 게이트 올어라운드 장치 및 그의 제조 방법
US8847293B2 (en) * 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8865560B2 (en) * 2012-03-02 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design with LDD extensions
US8785285B2 (en) * 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8629512B2 (en) * 2012-03-28 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Gate stack of fin field effect transistor with slanted sidewalls
US9142649B2 (en) * 2012-04-23 2015-09-22 United Microelectronics Corp. Semiconductor structure with metal gate and method of fabricating the same
US8847281B2 (en) * 2012-07-27 2014-09-30 Intel Corporation High mobility strained channels for fin-based transistors
US9136383B2 (en) * 2012-08-09 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823102B2 (en) * 2012-11-16 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Device with a strained Fin
US9202917B2 (en) * 2013-07-29 2015-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Buried SiGe oxide FinFET scheme for device enhancement
US8853039B2 (en) * 2013-01-17 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
KR102049774B1 (ko) * 2013-01-24 2019-11-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8987791B2 (en) * 2013-02-27 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9412847B2 (en) * 2013-03-11 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned passivation of active regions
US9159834B2 (en) * 2013-03-14 2015-10-13 International Business Machines Corporation Faceted semiconductor nanowire
KR102038486B1 (ko) * 2013-04-09 2019-10-30 삼성전자 주식회사 반도체 장치 및 그 제조 방법
KR102078187B1 (ko) * 2013-05-31 2020-02-17 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9093531B2 (en) * 2013-06-11 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US9178043B2 (en) * 2013-06-21 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Non-planar transistors with replacement fins and methods of forming the same
US20140374838A1 (en) * 2013-06-21 2014-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with Nitride Liners and Methods of Forming the Same
KR20150000546A (ko) * 2013-06-24 2015-01-05 삼성전자주식회사 반도체 소자 및 이의 제조 방법
CN104253046B (zh) * 2013-06-26 2016-12-28 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
US8952420B1 (en) * 2013-07-29 2015-02-10 Stmicroelectronics, Inc. Method to induce strain in 3-D microfabricated structures
US8889500B1 (en) * 2013-08-06 2014-11-18 Globalfoundries Inc. Methods of forming stressed fin channel structures for FinFET semiconductor devices
US9496397B2 (en) * 2013-08-20 2016-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. FinFet device with channel epitaxial region
US9219115B2 (en) * 2013-10-11 2015-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Forming conductive STI liners for FinFETS

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050145932A1 (en) * 2003-02-19 2005-07-07 Park Tai-Su Vertical channel field effect transistors having insulating layers thereon and methods of fabricating the same
US20040219722A1 (en) * 2003-05-01 2004-11-04 Pham Daniel T. Method for forming a double-gated semiconductor device
US20110175149A1 (en) * 2003-05-28 2011-07-21 Jung-Hwan Kim Semiconductor Device and Method of Fabricating the Same
CN1645629A (zh) * 2004-01-17 2005-07-27 三星电子株式会社 至少五侧面沟道型鳍式场效应晶体管及其制造方法
CN101924133A (zh) * 2009-04-14 2010-12-22 台湾积体电路制造股份有限公司 鳍式fet及其形成方法
CN102832236A (zh) * 2011-06-16 2012-12-19 台湾积体电路制造股份有限公司 应变沟道的场效应晶体管

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107660311A (zh) * 2015-06-24 2018-02-02 英特尔公司 在替代沟道finfet中的子鳍状物侧壁钝化
CN107660311B (zh) * 2015-06-24 2022-02-11 英特尔公司 在替代沟道finfet中的子鳍状物侧壁钝化
CN106486547A (zh) * 2015-08-31 2017-03-08 台湾积体电路制造股份有限公司 半导体装置结构及其制造方法
CN106486547B (zh) * 2015-08-31 2019-12-31 台湾积体电路制造股份有限公司 半导体装置结构及其制造方法
CN107154358A (zh) * 2016-03-02 2017-09-12 格罗方德半导体公司 Srb弹性松弛的方法及结构
CN107154358B (zh) * 2016-03-02 2020-10-13 格罗方德半导体公司 Srb弹性松弛的方法及结构
CN107680940B (zh) * 2016-08-02 2020-09-01 台湾积体电路制造股份有限公司 Finfet及其形成方法
CN107680940A (zh) * 2016-08-02 2018-02-09 台湾积体电路制造股份有限公司 Finfet及其形成方法
CN107799458A (zh) * 2016-08-31 2018-03-13 东京毅力科创株式会社 自对准多重图案化的原位间隔件整形的方法和系统
CN107799458B (zh) * 2016-08-31 2023-12-08 东京毅力科创株式会社 自对准多重图案化的原位间隔件整形的方法和系统
CN111630664A (zh) * 2017-11-21 2020-09-04 朗姆研究公司 用于形成鳍式场效晶体管的单等离子体室中的原子层沉积及蚀刻
TWI773850B (zh) * 2017-11-21 2022-08-11 美商蘭姆研究公司 用於形成鰭式場效電晶體的單電漿室中之原子層沉積及蝕刻
CN113675218A (zh) * 2020-05-14 2021-11-19 上海功成半导体科技有限公司 Fd-soi衬底结构及器件结构
WO2021255559A1 (en) * 2020-06-15 2021-12-23 International Business Machines Corporation Precise bottom junction formation for vertical transport field effect transistor with highly doped epitaxial source/drain, sharp junction gradient, and/or reduced parasitic capacitance
US11515427B2 (en) 2020-06-15 2022-11-29 International Business Machines Corporation Precise bottom junction formation for vertical transport field effect transistor with highly doped epitaxial source/drain, sharp junction gradient, and/or reduced parasitic capacitance

Also Published As

Publication number Publication date
US10381482B2 (en) 2019-08-13
US20160155846A1 (en) 2016-06-02
US20220045214A1 (en) 2022-02-10
DE102013112389A1 (de) 2015-04-16
US20190363191A1 (en) 2019-11-28
DE102013112389B4 (de) 2018-08-02
US9287262B2 (en) 2016-03-15
CN104576733B (zh) 2019-09-24
US20150102386A1 (en) 2015-04-16
KR101629085B1 (ko) 2016-06-09
US11855219B2 (en) 2023-12-26
US20170278971A1 (en) 2017-09-28
US11158743B2 (en) 2021-10-26
US9680021B2 (en) 2017-06-13
KR20150042128A (ko) 2015-04-20

Similar Documents

Publication Publication Date Title
US11855219B2 (en) Passivated and faceted for fin field effect transistor
US10727301B2 (en) Semiconductor liner of semiconductor device
US10084071B2 (en) Isolation structure of fin field effect transistor
US10164068B2 (en) FinFET structure and method for fabricating the same
US9343551B2 (en) Methods for manufacturing a fin structure of semiconductor device
US9530710B2 (en) Passivation structure of fin field effect transistor
US9093531B2 (en) Fin structure of semiconductor device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant