CN104011835A - 栅极对准接触部及其制造方法 - Google Patents

栅极对准接触部及其制造方法 Download PDF

Info

Publication number
CN104011835A
CN104011835A CN201180075764.1A CN201180075764A CN104011835A CN 104011835 A CN104011835 A CN 104011835A CN 201180075764 A CN201180075764 A CN 201180075764A CN 104011835 A CN104011835 A CN 104011835A
Authority
CN
China
Prior art keywords
gate electrode
dummy gate
layer
grid
permanent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201180075764.1A
Other languages
English (en)
Other versions
CN104011835B (zh
Inventor
O·戈隆茨卡
S·希瓦库马
C·H·华莱士
T·加尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to CN201610305963.1A priority Critical patent/CN105870191B/zh
Publication of CN104011835A publication Critical patent/CN104011835A/zh
Application granted granted Critical
Publication of CN104011835B publication Critical patent/CN104011835B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

描述了栅极对准接触部和形成栅极对准接触部的方法。例如,制造半导体结构的方法包括在形成于衬底之上的有源区之上形成多个栅极结构。栅极结构每个均包括栅极电介质层、栅极电极和侧壁间隔体。多个接触插塞被形成,每个接触插塞直接在多个栅极结构中的两个相邻栅极结构的侧壁间隔体之间形成。多个接触部被形成,每个接触部直接在多个栅极结构的两个相邻栅极结构的侧壁间隔体之间形成。多个接触部和多个栅极结构在形成所述多个接触插塞之后形成。

Description

栅极对准接触部及其制造方法
技术领域
本发明的实施例属于半导体器件和处理的领域,且特别涉及栅极对准接触部和形成栅极对准接触部的方法。
背景技术
在过去的几十年,在集成电路中的特征的缩放是支持不断增长的半导体工业的推动力。缩放到越来越小的特征实现了在半导体芯片的有限占用面积上的功能单元的增加的密度。例如,缩小的晶体管尺寸允许增加数量的存储器或逻辑设备合并在芯片上,从而导致具有增加的容量的产品的制造。然而,对更大的容量的追求并不是没有问题。优化每个设备的性能的必要性变得日益重要。
在集成电路器件的制造中,当器件尺寸继续按比例缩小时,多栅极晶体管(例如三栅极晶体管)变得更普遍。在常规过程中,三栅极晶体管通常被制造在体硅衬底或绝缘体上硅衬底上。在一些实例中,体硅衬底由于其较低的成本且因为它们实现较不复杂的三栅极制造工艺而是优选的。在其它实例中,绝缘体上硅衬底由于三栅极晶体管的提高的短沟道特性而是优选的。
然而,缩放多栅极晶体管并不是没有后果。当微电子电路的这些基本构成构件的尺寸减小时且当在给定区中制造的基本构成构件的绝对数量增加时,对用于图案化这些构成构件的光刻工艺的约束变成不可抵挡的。特别是,在半导体叠层中被图案化的特征的最小尺寸(临界尺寸)和这样的特征间的间隔之间会有折衷。
发明内容
本发明的实施例包括栅极对准接触部和形成栅极对准接触部的方法。
在实施例中,半导体结构包括在布置在衬底之上的三维有源区的顶表面之上并沿着三维有源区的侧壁布置的多个栅极结构。栅极结构均包括栅极电介质层、栅极电极和侧壁间隔体。多个接触部被包括,每个接触部直接布置在多个栅极结构的两个相邻栅极结构的侧壁间隔体之间。多个接触插塞也被包括,每个接触插塞直接布置在多个栅极结构的两个相邻栅极结构的侧壁间隔体之间。
在另一实施例中,制造半导体结构的方法包括在形成于衬底之上的有源区之上形成多个栅极结构。每个栅极结构均包括栅极电介质层、栅极电极和侧壁间隔体。多个接触插塞被形成,每个接触插塞直接在多个栅极结构的两个相邻栅极结构的侧壁间隔体之间形成。多个接触部被形成,每个接触部直接在多个栅极结构中的两个相邻栅极结构的侧壁间隔体之间形成。多个接触部和多个栅极结构在形成所述多个接触插塞之后形成。
在另一实施例中,制造半导体结构的方法包括在衬底之上形成栅极线格栅。栅极线格栅包括多个虚设栅极线。掩蔽叠层在栅极线格栅的虚设栅极线之上和之间形成。图案化硬掩模层仅在栅极线格栅的虚设栅极线的第一部分之上和之间由掩蔽叠层形成,暴露虚设栅极线的第二部分。电介质层在图案化硬掩模层之上和在虚设栅极线的第二部分之上和之间形成。电介质层被平面化以在虚设栅极线的第二部分之上和之间形成图案化电介质层,并重新暴露图案化硬掩模层。图案化硬掩模层从栅极线格栅的虚设栅极线的第一部分去除,重新暴露虚设栅极线的第一部分。层间电介质层在图案化电介质层之上和在虚设栅极线的第一部分之上和之间形成。层间电介质层和图案化电介质层被平面化,以分别形成在虚设栅极线的第一部分之间而不是之上的第一永久层间电介质部分,和形成在虚设栅极线的第二部分之间而不是之上的牺牲电介质部分。虚设栅极线的第一或第二部分或这两者的虚设栅极线中的一个或多个被图案化以提供在多个虚设栅极当中和在第一永久层间电介质部分和牺牲电介质部分的其余区当中的沟槽区。沟槽区填充有第二永久层间电介质部分。多个虚设栅极用永久栅极结构代替。牺牲电介质部分的其余区被去除以提供接触开口。接触部然后在接触开口中形成。
附图说明
图1A-1K示出表示在根据本发明的实施例的制造具有栅极对准接触部的半导体结构的方法中的各种操作的横截面视图,其中:
图1A示出在衬底之上形成栅极线格栅,栅极线格栅包括多个虚设栅极线;
图1B示出在图1A的栅极线格栅的虚设栅极线之上和之间形成掩蔽叠层;
图1C示出由图1B的掩蔽叠层形成图案化硬掩模层,图案化硬掩模层在栅极线格栅的虚设栅极线的仅仅第一部分之上和之间形成,暴露虚设栅极线的第二部分;
图1D示出在图1C的图案化硬掩模层之上和在虚设栅极线的第二部分之上和之间形成电介质层;
图1E示出图1D的电介质层被平面化以在虚设栅极线的第二部分之上和之间形成图案化电介质层并重新暴露图案化硬掩模层;
图1F示出图1E的图案化硬掩模层被从栅极线格栅的虚设栅极线的第一部分去除,重新暴露虚设栅极线的第一部分;
图1G示出在图案化电介质层之上形成并且在虚设栅极线的第一部分之上和之间形成层间电介质层;
图1H示出层间电介质层和图案化电介质层被平面化,以分别形成在虚设栅极线的第一部分之间而不是之上形成第一永久层间电介质部分,以及在虚设栅极线的第二部分之间而不是之上形成牺牲电介质部分;
图1I示出图1H的虚设栅极线的第一或第二部分或这两者的一个或多个虚设栅极线被图案化,以提供在多个虚设栅极当中和在第一永久层间电介质部分和牺牲电介质部分的其余区当中的沟槽区,沟槽区填充有第二永久层间电介质部分;
图1J示出用永久栅极结构代替图1I的多个虚设栅极;以及
图1K示出牺牲电介质部分的其余区被去除以提供接触开口。
图2示出根据本发明的实施例的具有栅极对准接触部的半导体结构的横截面视图。
图3示出根据本发明的实施例的具有栅极对准接触部的半导体结构的平面图。
图4示出根据本发明的另一实施例的具有栅极对准接触部的另一半导体结构的平面图。
图5示出根据本发明的一个实现方式的计算设备。
具体实施方式
描述了栅极对准接触部和形成栅极对准接触部的方法。在下面的描述中,阐述了很多特定的细节,例如特定的集成和材料状况,以便提供对本发明的实施例的透彻理解。对本领域中的技术人员将明显的是,本发明的实施例可在没有这些特定细节的情况下被实施。在其它实例中,公知的特征(例如集成电路设计布局)没有被详细描述,以便不没有必要地使本发明的实施例难以理解。此外,应理解,在附图中示出的各种实施例是例证性表示且不一定按比例绘制。
本发明的一个或多个实施例涉及栅极对准接触过程。这样的过程可被实现来形成用于半导体结构制造(例如用于集成电路制造)的接触图案。在实施例中,接触图案被形成为与现有的栅极图案对准。相反,常规方法一般涉及光刻接触图案结合选择性接触蚀刻而与现有栅极图案紧密配准的额外的光刻工艺。例如,常规过程可包括通过分别图案化接触部和接触插塞来图案化多晶(poly)(栅极)栅格。
根据本文描述的一个或多个实施例,接触部形成的方法包括接触图案的形成,接触图案与现有栅极图案完全对准,同时由于非常严格的配准预算而消除了光刻步骤的使用。在一个这样的实施例中,该方法实现固有地高度选择性的湿蚀刻(例如,与照惯例实现的干或等离子体蚀刻对比)的使用以产生接触开口。在实施例中,通过结合接触插塞光刻操作而利用现有的栅极图案来形成接触图案。在一个这样的实施例中,该方法能够消除对否则如在常规方法中使用的产生接触图案的关键的光刻操作的需要。在实施例中,沟槽接触栅格不被单独地图案化,而是在多晶(栅极)线之间形成。例如,在一个这样的实施例中,沟槽接触栅格在栅极格栅图案化之后但在栅极格栅切割之前形成。
图1A-1K示出表示在根据本发明的实施例的制造具有栅极对准接触部的半导体结构的方法中的各种操作的横截面视图。图2示出根据本发明的实施例的具有栅极对准接触部的半导体结构的横截面视图。
首先参考图2,半导体结构包括布置在衬底的有源区102之上的多个栅极结构134。例如,有源区可包括如在图2中描绘的扩散区104。栅极结构134每个包括栅极电介质层136、栅极电极138和侧壁间隔体110。电介质盖140也可被包括,如下面更详细描述的。多个接触部142被包括,每个接触部直接布置在多个栅极结构134的两个相邻栅极结构的侧壁间隔体110之间。多个接触插塞128/132也被包括,每个接触插塞直接布置在多个栅极结构的两个相邻栅极结构的侧壁间隔体110之间。下面提供对栅极结构134、有源区102、扩散区104、栅极电介质层136、栅极电极138、侧壁间隔体110、电介质盖140、接触部142和接触插塞128/132的可能的材料选择。因此,在实施例中,没有这样布置在栅极结构134的侧壁间隔体110和接触部142之间的中间材料层或残留物。
参考图1A,用于制造半导体结构(例如结合图2描述的结构)的方法中的初始点可以以栅极线格栅106的制造开始。栅极线格栅106可包括具有间隔体110的虚设栅极106。栅极线格栅106可形成在有源区102之上且在一些地方形成在有源区102的扩散区104之上。因此,在实施例中,源极和漏极区(例如区104)在这个阶段被制造。然而,最终栅极图案还没有形成,虽然栅极格栅图案已形成。栅极线格栅106可由氮化物柱体或可被称为栅极虚设材料的某种其它牺牲材料构成,如在下面更详细描述的。
在实施例中,有源区102由单晶材料——包括但不限于硅、锗、硅-锗或III-V化合物半导体材料——构成。扩散区104在一个实施例中是有源区102的重掺杂区。在一个实施例中,有源区102由IV族材料构成,且一个或多个部分104掺杂有硼、砷、磷、铟或其组合。在另一实施例中,有源区102由III-V族材料构成,且一个或多个部分104掺杂有碳、硅、锗、氧、硫、硒或碲。在实施例中,有源区102的至少一部分是应变的。有源区102在一个实施例中可以是三维结构(例如图案化半导体主体)的一部分或全部。可选地,在另一实施例中,有源区102总体上是平面的。
有源区102可作为较宽衬底的一部分被包括。衬底可由适合于半导体器件制造的材料构成。在实施例中,衬底是体衬底。例如,在一个实施例中,衬底是由单晶材料——包括但不限于硅、锗、硅-锗或III-V化合物半导体材料——构成的体衬底。可选地,衬底包括上外延层和下主体部分,其中任一个都可由单晶材料——可以包括但不限于硅、锗、硅-锗或III-V化合物半导体材料——构成。由材料(其包括但不限于二氧化硅、氮化硅或氮氧化硅)构成的中间绝缘体层可布置在上外延层和下主体部分之间。
栅极线格栅106可由虚设栅极108形成。虚设栅极108在实施例中由适合于在替换栅极操作中去除的材料构成,如下面讨论的。在一个实施例中,虚设栅极108由多晶硅、非晶硅、二氧化硅、氮化硅或其组合构成。在另一实施例中,保护盖层(未示出)(例如二氧化硅或氮化硅层)在虚设栅极108之上形成。在实施例中,下层虚设栅极电介质层(也未示出)被包括。在实施例中,虚设栅极108还包括侧壁间隔体110,其可由适合于最终使永久栅极结构与相邻的导电接触部电隔离的材料构成。例如,在一个实施例中,间隔体110由电介质材料——例如但不限于二氧化硅、氮氧化硅、氮化硅或参杂碳的氮化硅——构成。
参考图1B,掩蔽叠层112在栅极线格栅106的虚设栅极108之上和之间形成。掩蔽叠层112包括硬掩模层114和抗反射涂层(ARC)116以及图案化光致抗蚀剂层118。根据本发明的实施例,掩蔽叠层112的光致抗蚀剂层118被图案化以最终便于在随后形成的接触图案中的中断部(interruption)的形成。中断部可被称为“接触插塞”。
在实施例中,硬掩模层114由适合于充当随后的牺牲层的材料构成。例如,在一个实施例中,如下面更详细描述的,硬掩模层114最终被图案化以留下随后对其它特征选择性地去除的剩余的部分。在特定的这样的实施例中,硬掩模层114实质上由碳构成,例如作为一层交联有机聚合物。在一个实施例中,硬掩模层114由有机聚合材料(例如底部抗反射涂层(BARC))构成。在实施例中,硬掩模层114通过化学气相沉积(CVD)工艺形成。
在实施例中,ARC层116适合于在光致抗蚀剂层118的光刻图案化期间抑制反射干扰。在一个这样的实施例中,ARC层116由旋涂玻璃材料构成。图案化光致抗蚀剂层118可由适合于在光刻工艺中使用的材料构成。在一个实施例中,图案化光致抗蚀剂层118通过首先掩蔽光致抗蚀剂材料的均厚层并接着将它暴露于光源来形成。图案化光致抗蚀剂层118可接着通过使均厚光致抗蚀剂层显影来形成。在实施例中,暴露于光源的光致抗蚀剂层的部分在使光致抗蚀剂层显影时被去除。因此,图案化光致抗蚀剂层118由正光致抗蚀材料构成。在特定的实施例中,图案化光致抗蚀剂层118由正光致抗蚀材料——例如但不限于248nm抗蚀剂、193nm抗蚀剂、157nm抗蚀剂、远紫外线(EUV)抗蚀剂、电子束压印层或具有邻叠氮萘醌敏化剂的酚醛树脂基体——构成。在另一实施例中,暴露于光源的光致抗蚀剂层的部分在使光致抗蚀剂层显影时被保留。因此,图案化光致抗蚀剂层118由负性光致抗蚀材料构成。在特定的实施例中,图案化光致抗蚀剂层118由负性光致抗蚀材料构成,例如但不限于由顺-聚异戊间二烯或聚乙烯肉桂酸酯构成。
参考图1C,光致抗蚀剂层118的图案通过蚀刻工艺转移到硬掩模层114以提供在栅极线格栅106的一些虚设栅极108之上和之间的图案化硬掩模层120。光致抗蚀剂层118被去除。然而,ARC层116的图案化部分可保留,如图1C所描绘的。根据本发明的实施例,光致抗蚀剂层118的图案转移到硬掩模层114以暴露在扩散区104上面的虚设栅极108,也如图1C所描绘的。在一个这样的实施例中,光致抗蚀剂层118的图案通过使用等离子体蚀刻过程而转移到硬掩模层114。
参考图1D,ARC层116的任何其余部分被去除,且电介质层122在图案化硬掩模层120之上和在栅极线格栅106的被暴露虚设栅极108之上和之间形成。在实施例中,电介质层122由适合于充当随后的牺牲层的材料构成。例如,在一个实施例中,如下面更详细描述的,最终相对于其它被暴露特征选择性地去除电介质层122。在特定的实施例中,电介质层由二氧化硅构成。
参考图1E,电介质层122被平面化以形成图案化电介质层124并重新暴露图案化硬掩模层120。在实施例中,通过化学机械平面化(CMP)工艺操作来平面化电介质层122。在一个这样的实施例中,CMP工艺操作涉及使用浆料在抛光垫上抛光电介质层122。在另一实施例中,干蚀刻工艺被使用。
参考图1F,利用对图案化电介质层124的选择性并且利用对栅极线格栅106的下层虚设栅极108的选择性来去除图案化硬掩模层120。在实施例中,图案化硬掩模层120实质上或全部由碳构成,并利用对由二氧化硅构成的图案化电介质层124的选择性来去除。在实施例中,图案化硬掩模层120实质上或全部由碳构成,并利用灰化工艺(ash process)来去除。在一个实施例中,图案化硬掩模层120由含碳物质构成并在利用氧(O2)气或氮(N2)气和氢(H2)气的组合的干灰化操作中被去除。
参考图1G,层间电介质层126在图案化电介质层124之上以及在栅极线格栅106的被暴露虚设栅极108之上和之间形成。根据本发明的实施例,层间电介质层126提供永久层间电介质层的第一部分,如下面描述的。在一个实施例中,层间电介质层126由碳化硅材料构成。在特定的这样的实施例中,使用化学气相沉积(CVD)工艺来形成碳化硅材料。在另一实施例中,层间电介质层126由例如但不限于二氧化硅、氮化硅或氮氧化硅的材料构成。
参考图1H,层间电介质层126和图案化电介质层124被平面化以暴露栅极线格栅106的所有虚设栅极108的顶部部分。根据本发明的实施例,平面化提供第一永久层间电介质部分128和牺牲电介质部分130。在实施例中,层间电介质层126和图案化电介质层124通过CMP工艺操作来平面化,如上面结合图1E描述的。
在这个阶段,栅极线格栅106的虚设栅极108——包括间隔体110——可垂直于格栅结构而被图案化。作为例子,不在扩散区域之上,例如在隔离区之上的栅极线格栅106的部分可被去除。在另一例子中,图案化产生分立的虚设栅极结构。参考图1I,在一个这样的实施例中,例如通过光刻法和蚀刻工艺来去除不在扩散区104上面的虚设栅极108的部分(和相应的间隔体110部分)。
再次参考图1I,栅极线格栅106被去除的部分可接着由第二永久层间电介质部分132填充。第二永久层间电介质部分132可以用类似于第一永久层间电介质部分128的方式并由与第一永久层间电介质部分128相同或类似的材料而(例如)通过沉积和平面化来形成。应理解,在图1I中的视图可具有在与图1H所示的横截面不同的位置上(例如,进或出纸面)的横截面。因此,此时,永久层间电介质层可由在第一区(在图1I中未示出)中形成的第一永久层间电介质部分128和在第二区中形成的第二永久层间电介质部分132的组合限定。在一个这样的实施例中,第一永久层间电介质部分128和第二永久层间电介质部分132都由碳化硅构成。
在这个阶段,被暴露的其余虚设栅极108可以在替换栅极过程方案中被代替。在这样的方案中,虚设栅极材料(例如多晶硅或氮化硅柱体材料)可被去除并用永久栅极电极材料代替。在一个这样的实施例中,永久栅极电介质层也在这个过程中形成,与从早些时候的处理完成的相反。
在实施例中,通过干蚀刻或湿蚀刻工艺来去除虚设栅极108。在一个实施例中,虚设栅极108由多晶硅或非晶硅构成并使用包括SF6的干蚀刻工艺来去除。在另一实施例中,虚设栅极108由多晶硅或非晶硅构成并使用包括含水NH4OH或四甲基氢氧化铵的湿蚀刻工艺来去除。在一个实施例中,虚设栅极108由氮化硅构成并使用包括含水磷酸的湿蚀刻来去除。
参考图1J,永久栅极结构134被形成以包括永久栅极电介质层136和永久栅极电极层或叠层138。此外,在实施例中,永久栅极结构134的顶部部分例如通过蚀刻工艺来去除并用电介质盖层140代替。在实施例中,电介质盖层140由与由碳化硅构成的第一永久层间电介质部分128和第二永久层间电介质部分132都相同的材料构成。在一个这样的实施例中,所有电介质盖层140、第一永久层间电介质部分128和第二永久层间电介质部分132都由碳化硅构成。
在实施例中,永久栅极电介质层136由高K材料构成。例如,在一个实施例中,永久栅极电介质层136由材料构成,所述材料例如但不限于氧化铪、氮氧化铪、硅酸铪、氧化镧、氧化锆、硅酸锆、氧化钽、钛酸钡锶、钛酸钡、钛酸锶、氧化钇、氧化铝、氧化铅钪钽和铌酸铅锌或其组合。此外,永久栅极电介质层136的一部分可包括由扩散区104的顶部几个层形成的一层天然氧化物。在实施例中,永久栅极电介质层136由顶部高k部分和由半导体材料的氧化物构成的下部分构成。在一个实施例中,永久栅极电介质层136由氧化铪的顶部分和二氧化硅或氮氧化硅的底部分构成。
在实施例中,永久栅极电极层或叠层138由金属栅极构成。在一个实施例中,永久栅极电极层或叠层138由金属层——例如但不限于金属氮化物、金属碳化物、金属硅化物、金属铝化物、铪、锆、钛、钽、铝、钌、钯、铂、钴、镍或导电金属氧化物——构成。在特定的实施例中,永久栅极电极层或叠层138由在金属功函数设定层之上形成的非功函数设定填充材料构成。在实施例中,永久栅极电极层或叠层138还包括可由绝缘电介质材料构成的侧壁间隔体110,如上所述。
参考图1K,相对于电介质盖层140、第一永久层间电介质部分128、第二永久层间电介质部分132、间隔体110和扩散区104的被暴露部分而选择性地去除牺牲电介质部分130。在实施例中,使用干蚀刻或湿蚀刻工艺(例如含水氢氟酸(HF)湿蚀刻工艺)来去除牺牲电介质部分130。根据本发明的实施例,牺牲电介质部分130充当牺牲占位器,用于随后的接触形成。
再次参考图2,一旦设置了牺牲电介质部分130,就形成了接触部142。因此,接触部142在永久栅极结构134之间形成。在实施例中,接触部142通过导电材料的沉积和平面化(例如通过CMP)来形成。接触部142可由导电材料构成。在实施例中,接触部142由金属物质构成。金属物质可以是纯金属(例如镍或钴),或可以是合金,例如金属-金属合金或金属-半导体合金(例如硅化物材料)。
图3示出根据本发明的实施例的显示半导体结构的某些特征的平面图。参考图3,半导体结构包括布置在衬底的有源区102(例如扩散区104)之上的多个栅极结构134。多个接触部142被包括,每个接触部直接布置在多个栅极结构134中的两个相邻栅极结构之间,例如直接在多个栅极结构134中的两个相邻栅极结构的侧壁间隔体之间。
因此,在实施例中,制造半导体结构的方法包括在衬底之上形成栅极线格栅。栅极线格栅包括多个虚设栅极线。掩蔽叠层在栅极线格栅的虚设栅极线之上和之间形成。图案化硬掩模层在栅极线格栅的虚设栅极线的仅仅第一部分之上和之间由掩蔽叠层形成,暴露虚设栅极线的第二部分。电介质层在图案化硬掩模层之上以及在虚设栅极线的第二部分之上和之间形成。电介质层被平面化以在虚设栅极线的第二部分之上和之间形成图案化电介质层,并重新暴露图案化硬掩模层。图案化硬掩模层从栅极线格栅的虚设栅极线的第一部分去除,重新暴露虚设栅极线的第一部分。层间电介质层在图案化硬掩模层之上以及在虚设栅极线的第一部分之上和之间形成。层间电介质层和图案化电介质层被平面化,以分别形成在虚设栅极线的第一部分之间而不是之上的第一永久层间电介质部分以及形成在虚设栅极线的第二部分之间而不是之上的牺牲电介质部分。虚设栅极线的第一或第二部分或这两者的虚设栅极线中的一个或多个被图案化以提供在多个虚设栅极当中和在第一永久层间电介质部分和牺牲电介质部分的其余区当中的沟槽区。沟槽区填充有第二永久层间电介质部分。多个虚设栅极用永久栅极结构代替。牺牲电介质部分的其余区被去除以提供接触开口。接触部然后在接触开口中形成。
在一个这样的实施例中,形成图案化硬掩模层包括形成交联有机聚合物层,形成电介质层包括形成一层二氧化硅,形成层间电介质层包括形成一层碳化硅,并且用第二永久层间电介质部分填充沟槽区包括形成并平面化第二层碳化硅。在特定的这样的实施例中,用永久栅极结构代替多个虚设栅极包括形成永久栅极电介质层、永久栅极层和碳化硅盖层。在另一这样的实施例中,在衬底之上形成栅极线格栅包括在三维有源区的顶表面之上并沿着三维有源区的侧壁形成虚设栅极线。
在实施例中,本文描述的一种或多种方法有效地设想结合虚设和替换接触部过程的虚设和替换栅极过程。在一个这样的实施例中,替换接触部过程在替换栅极过程之后被执行以允许永久栅极叠层的至少一部分的高温退火。例如,在特定的这样的实施例中,永久栅极结构的至少一部分的退火例如在栅极电介质层形成之后在比大约600摄氏度更高的温度下被执行。退火在永久接触部的形成之前被执行。
在实施例中,虚设接触部在接触部插塞的形成之前形成。也就是说,虚设接触部可在切割栅极格栅中的虚设栅极结构之前形成。这样的方法可提供在最终布局中的灵活性。在一个这样的实施例中,接触结构被形成为与两个或更多个扩散区接触。例如,图4示出根据本发明的另一实施例的具有栅极对准接触部的另一半导体结构的平面图。
参考图4,半导体结构包括布置在衬底的有源区102(例如扩散区104)之上的多个栅极结构134。多个接触部142被包括,每个接触部直接布置在多个栅极结构134的两个相邻栅极结构之间,例如直接在多个栅极结构134的两个相邻栅极结构的侧壁间隔体之间。接触部144之一被形成为与两个扩散区接触。在特定的实施例中通过之前存在的虚设栅极格栅线来促进接触部144的形成,虚设栅极格栅线未被切割,直到接触部144的至少虚设接触占位器形成为止。
应理解,不是上述过程的所有方面都需要被实施以落在本发明的实施例的精神和范围内。例如,在一个实施例中,从来不需要形成虚设栅极。上面描述的栅极叠层可实际上是如最初形成的永久栅极叠层。在一个这样的实施例中,只要插塞形成后面是栅极切割操作,益处和优点就将实现。
本文描述的过程可用于制造一个或多个半导体器件。半导体器件可以是晶体管或类似器件。例如,在实施例中,半导体器件是用于逻辑或存储器的金属氧化物半导体(MOS)晶体管、或双极晶体管。此外,在实施例中,半导体器件具有三维架构,例如三栅极器件、独立访问的双栅极器件或FIN-FET。
图5示出根据本发明的一个实现方式的计算设备500。计算设备500容纳板502。板502可包括多个部件,包括但不限于处理器504和至少一个通信芯片506。处理器504物理地和电气地耦合到板502。在一些实现方式中,至少一个通信芯片506也物理地和电气地耦合到板502。在另外的实现方式中,通信芯片506是处理器504的部分。
根据其应用,计算设备500可包括可以或可以不物理地和电气地耦合到板502的其它部件。这些其它部件可包括但不限于易失性存储器(例如DRAM)、非易失性存储器(例如ROM)、闪存、图形处理器、数字信号处理器、密码处理器、芯片组、天线、显示器、触摸屏显示器、触摸屏控制器、电池、音频编码解码器、视频编码解码器、功率放大器、全球定位系统(GPS)设备、罗盘、加速度计、陀螺仪、扬声器、照相机和大容量存储设备(例如硬盘驱动器、光盘(CD)、数字多功能盘(DVD)等)。
通信芯片506实现用于数据往返于计算设备500的传输的无线通信。术语“无线”及其派生词可用于描述可通过使用经由非固体介质的经调制电磁辐射来传递数据的电路、设备、系统、方法、技术、通信信道等。该术语并不暗示相关联的设备不包含任何电线,虽然在一些实施例中它们可以不包含电线。通信芯片506可实现多种无线标准或协议中的任一个,包括但不限于Wi-Fi(IEEE802.11族)、WiMAX(IEEE802.16族)、IEEE802.20、长期演进(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、蓝牙、其派生物以及被指定为3G、4G、5G和更高代的任何其它无线协议。计算设备500可包括多个通信芯片506。例如,第一通信芯片506可专用于较短距离无线通信(例如Wi-Fi和蓝牙),而第二通信芯片506可专用于较长距离无线通信,例如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO等。
计算设备500的处理器504包括封装在处理器504内的集成电路管芯。在本发明的一些实现方式中,处理器的集成电路管芯包括一个或多个器件,例如根据本发明的实现方式构建的MOS-FET晶体管。术语“处理器”可以指处理来自寄存器和/或存储器的电子数据以将所述电子数据转换成可存储在寄存器和/或存储器中的其它电子数据的任何设备或设备的部分。
通信芯片506还包括封装在通信芯片506内的集成电路管芯。根据本发明的另一实现方式,通信芯片的集成电路管芯包括一个或多个器件,例如根据本发明的实现方式构建的MOS-FET晶体管。
在另外的实现方式中,容纳在计算设备500内的另一部件可包含集成电路管芯,其包括一个或多个器件,例如根据本发明的实现方式构建的MOS-FET晶体管。
在各种实现方式中,计算设备500可以是膝上型计算机、上网本、笔记本计算机、超级本计算机、智能电话、平板计算机、个人数字助理(PDA)、超移动PC、移动电话、桌上型计算机、服务器、打印机、扫描仪、监视器、机顶盒、娱乐控制单元、数字照相机、便携式音乐播放器或数字视频记录器。在另外的实现方式中,计算设备500可以是处理数据的任何其它电子设备。
因此,公开了栅极对准接触部和形成栅极对准接触部的方法。在实施例中,制造半导体结构的方法包括在形成在衬底之上的有源区之上形成多个栅极结构。栅极结构每个均包括栅极电介质层、栅极电极和侧壁间隔体。形成多个接触插塞,每个接触插塞直接形成在多个栅极结构中的两个相邻栅极结构的侧壁间隔体之间。形成多个接触部,每个接触部直接形成在多个栅极结构中的两个相邻栅极结构的侧壁间隔体之间。多个接触部和多个栅极结构在形成多个接触插塞之后形成。在一个实施例中,多个栅极结构通过在形成多个接触部之前代替多个虚设栅极而形成。在一个实施例中,形成多个接触部包括形成与有源区的两个或更多个扩散区接触的接触结构。

Claims (30)

1.一种半导体结构,包括:
多个栅极结构,其在布置在衬底之上的三维有源区的顶表面之上并沿着所述三维有源区的侧壁布置,每个所述栅极结构均包括栅极电介质层、栅极电极和侧壁间隔体;
多个接触部,每个接触部均直接布置在所述多个栅极结构中的两个相邻栅极结构的所述侧壁间隔体之间;以及
多个接触插塞,每个接触插塞均直接布置在所述多个栅极结构中的两个相邻栅极结构的所述侧壁间隔体之间。
2.如权利要求1所述的半导体结构,其中所述多个接触部包括导电材料,而所述多个接触插塞包括碳化硅。
3.如权利要求2所述的半导体结构,其中每个所述栅极结构均包括高K栅极电介质、金属栅极和碳化硅盖。
4.如权利要求1所述的半导体结构,其中所述接触部之一与所述三维有源区的两个或更多个扩散区接触。
5.一种制造半导体结构的方法,所述方法包括:
在形成于衬底之上的有源区之上形成多个栅极结构,每个所述栅极结构均包括栅极电介质层、栅极电极和侧壁间隔体;
形成多个接触插塞,每个接触插塞均直接形成在所述多个栅极结构中的两个相邻栅极结构的所述侧壁间隔体之间;以及
形成多个接触部,每个接触部均直接形成在所述多个栅极结构中的两个相邻栅极结构的所述侧壁间隔体之间,其中所述多个接触部和所述多个栅极结构在形成所述多个接触插塞之后形成。
6.如权利要求5所述的方法,其中在所述有源区之上形成所述多个栅极结构包括在形成于所述衬底之上的三维有源区的顶表面之上并沿着所述三维有源区的侧壁形成所述多个栅极结构。
7.如权利要求5所述的方法,其中通过在形成所述多个接触部之前代替多个虚设栅极来形成所述多个栅极结构。
8.如权利要求7所述的方法,还包括:
在比大约600摄氏度更高的温度下执行对所述多个栅极结构中的每个栅极结构的至少一部分的退火。
9.如权利要求8所述的方法,其中形成所述多个接触插塞包括形成碳化硅接触插塞。
10.如权利要求5所述的方法,其中形成所述多个接触部包括形成与所述有源区的两个或更多个扩散区接触的接触结构。
11.一种制造半导体结构的方法,所述方法包括:
在衬底之上形成栅极线格栅,所述栅极线格栅包括多个虚设栅极线;
在所述栅极线格栅的所述虚设栅极线之上和之间形成掩蔽叠层;
从所述掩蔽叠层在所述栅极线格栅的所述虚设栅极线的仅仅第一部分之上和之间形成图案化硬掩模层,暴露所述虚设栅极线的第二部分;
在所述图案化硬掩模层之上以及在所述虚设栅极线的所述第二部分之上和之间形成电介质层;
平面化所述电介质层,以在所述虚设栅极线的所述第二部分之上和之间形成图案化电介质层,并重新暴露所述图案化硬掩模层;
从所述栅极线格栅的所述虚设栅极线的所述第一部分去除所述图案化硬掩模层,重新暴露所述虚设栅极线的所述第一部分;
在所述图案化电介质层之上以及在所述虚设栅极线的所述第一部分之上和之间形成层间电介质层;
平面化所述层间电介质层和所述图案化硬掩模层,以分别在所述虚设栅极线的所述第一部分之间而不是之上形成第一永久层间电介质部分,和在所述虚设栅极线的所述第二部分之间而不是之上形成牺牲电介质部分;
图案化所述虚设栅极线的所述第一部分或所述第二部分或这两者的所述虚设栅极线中的一个或多个虚设栅极线,以在所述多个虚设栅极当中和在所述第一永久层间电介质部分和所述牺牲电介质部分的其余区当中提供沟槽区;
用第二永久层间电介质部分来填充所述沟槽区;
用永久栅极结构代替所述多个虚设栅极;
去除所述牺牲电介质部分的所述其余区以提供接触开口;以及
在所述接触开口中形成接触部。
12.如权利要求11所述的方法,其中在所述衬底之上形成所述栅极线格栅还包括形成具有侧壁间隔体的所述虚设栅极线。
13.如权利要求11所述的方法,其中形成所述掩蔽叠层包括在抗反射涂层之上形成图案化光致抗蚀剂层,所述抗反射涂层形成在硬掩模层之上。
14.如权利要求13所述的方法,其中在所述虚设栅极线的所述第一部分之上和之间形成所述图案化硬掩模层包括形成交联有机聚合物层。
15.如权利要求11所述的方法,其中在所述图案化硬掩模层之上以及在所述虚设栅极线的所述第二部分之上和之间形成所述电介质层包括形成一层二氧化硅。
16.如权利要求11所述的方法,其中平面化所述电介质层以形成所述图案化电介质层包括使用化学机械平面化工艺。
17.如权利要求11所述的方法,其中去除所述图案化硬掩模层包括使用基于氧(O2)气或者氮(N2)气和氢(H2)气的组合的干灰化工艺。
18.如权利要求11所述的方法,其中形成所述层间电介质层包括形成一层碳化硅。
19.如权利要求11所述的方法,其中平面化所述层间电介质层和所述图案化电介质层包括使用化学机械平面化工艺。
20.如权利要求11所述的方法,其中图案化所述虚设栅极线以提供所述沟槽区包括去除布置在隔离区之上的所述虚设栅极线的一个或多个部分。
21.如权利要求11所述的方法,其中用第二永久层间电介质部分填充所述沟槽区包括形成并平面化一层碳化硅。
22.如权利要求11所述的方法,其中用永久栅极结构代替所述多个虚设栅极包括形成永久栅极电介质层、永久栅极层和电介质盖层。
23.如权利要求11所述的方法,其中去除所述牺牲电介质部分的所述其余区包括使用含水氢氟酸(HF)工艺或干蚀刻工艺。
24.如权利要求11所述的方法,其中在所述接触开口中形成所述接触部包括沉积和平面化导电材料层。
25.如权利要求11所述的方法,其中形成所述图案化硬掩模层包括形成交联有机聚合物层,形成所述电介质层包括形成一层二氧化硅,形成所述层间电介质层包括形成一层碳化硅,并且用第二永久层间电介质部分填充所述沟槽区包括形成并平面化第二层碳化硅。
26.如权利要求25所述的方法,其中用永久栅极结构代替所述多个虚设栅极包括形成永久栅极电介质层、永久栅极层和碳化硅盖层。
27.如权利要求11所述的方法,其中在所述衬底之上形成所述栅极线格栅包括在三维有源区的顶表面之上并沿着所述三维有源区的侧壁形成所述虚设栅极线。
28.如权利要求11所述的方法,其中在在所述接触开口中形成所述接触部之前,执行用永久栅极结构代替所述多个虚设栅极。
29.如权利要求28所述的方法,还包括:
在比大约600摄氏度更高的温度下执行对所述永久栅极结构的至少一部分的退火。
30.如权利要求11所述的方法,其中在所述接触开口中形成接触部包括形成与两个或更多个扩散区接触的接触结构。
CN201180075764.1A 2011-12-22 2011-12-22 栅极对准接触部及其制造方法 Active CN104011835B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201610305963.1A CN105870191B (zh) 2011-12-22 2011-12-22 栅极对准接触部及其制造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2011/066989 WO2013095548A1 (en) 2011-12-22 2011-12-22 Gate aligned contact and method to fabricate same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201610305963.1A Division CN105870191B (zh) 2011-12-22 2011-12-22 栅极对准接触部及其制造方法

Publications (2)

Publication Number Publication Date
CN104011835A true CN104011835A (zh) 2014-08-27
CN104011835B CN104011835B (zh) 2016-10-26

Family

ID=48669189

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180075764.1A Active CN104011835B (zh) 2011-12-22 2011-12-22 栅极对准接触部及其制造方法

Country Status (5)

Country Link
US (6) US9716037B2 (zh)
KR (10) KR101801380B1 (zh)
CN (1) CN104011835B (zh)
TW (1) TWI501397B (zh)
WO (1) WO2013095548A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107706233A (zh) * 2016-08-08 2018-02-16 联华电子股份有限公司 半导体元件及其制作方法
CN108932360A (zh) * 2017-05-26 2018-12-04 台湾积体电路制造股份有限公司 集成电路及其制造方法

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101801380B1 (ko) 2011-12-22 2017-11-27 인텔 코포레이션 반도체 구조
US8697511B2 (en) 2012-05-18 2014-04-15 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device
US8877578B2 (en) 2012-05-18 2014-11-04 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device
US9461143B2 (en) 2012-09-19 2016-10-04 Intel Corporation Gate contact structure over active gate and method to fabricate same
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9171758B2 (en) 2014-03-31 2015-10-27 International Business Machines Corporation Method of forming transistor contacts
CN107431044B (zh) * 2015-06-24 2021-11-30 瑞萨电子株式会社 半导体器件
US11088030B2 (en) 2015-12-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
KR102564786B1 (ko) * 2016-01-13 2023-08-09 삼성전자주식회사 반도체 소자 및 그 제조방법
CN117219572A (zh) 2016-12-23 2023-12-12 英特尔公司 高级光刻和自组装装置
US10381480B2 (en) 2017-09-27 2019-08-13 International Business Machines Corporation Reliable gate contacts over active areas
US10756204B2 (en) 2017-11-30 2020-08-25 Intel Corporation Fin trim isolation with single gate spacing for advanced integrated circuit structure fabrication
US11462436B2 (en) 2017-11-30 2022-10-04 Intel Corporation Continuous gate and fin spacer for advanced integrated circuit structure fabrication
US10734379B2 (en) 2017-11-30 2020-08-04 Intel Corporation Fin end plug structures for advanced integrated circuit structure fabrication
US10707133B2 (en) 2017-11-30 2020-07-07 Intel Corporation Trench plug hardmask for advanced integrated circuit structure fabrication
EP3718142A4 (en) 2017-11-30 2021-09-22 Intel Corporation STRUCTURING RIBS FOR THE PRODUCTION OF AN INTEGRATED CIRCUIT
US10796951B2 (en) 2017-11-30 2020-10-06 Intel Corporation Etch-stop layer topography for advanced integrated circuit structure fabrication
US10796968B2 (en) 2017-11-30 2020-10-06 Intel Corporation Dual metal silicide structures for advanced integrated circuit structure fabrication
TW202341349A (zh) 2017-11-30 2023-10-16 美商英特爾股份有限公司 用於先進積體電路結構製造之異質金屬線組成
TW202333375A (zh) 2017-11-30 2023-08-16 美商英特爾股份有限公司 用於先進積體電路結構製造的鰭切割和鰭修整隔離
DE102018126911A1 (de) 2017-11-30 2019-06-06 Intel Corporation Gate-Schnitt und Finnentrimmisolation für fortschrittliche Integrierter-Schaltkreis-Struktur-Fertigung
TWI766949B (zh) * 2018-02-22 2022-06-11 美商英特爾股份有限公司 先進微影及自聚合裝置
TWI806638B (zh) * 2018-02-22 2023-06-21 美商英特爾股份有限公司 先進微影及自聚合裝置
KR102516879B1 (ko) 2018-08-17 2023-03-31 삼성전자주식회사 다양한 선폭을 가지는 반도체 소자 및 이의 제조 방법
US11031295B2 (en) 2019-06-03 2021-06-08 International Business Machines Corporation Gate cap last for self-aligned contact
CN115157680B (zh) * 2022-06-24 2023-09-12 芯体素(杭州)科技发展有限公司 基于3d打印的光栅制备方法及3d打印设备

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1255236A (zh) * 1997-04-10 2000-05-31 株式会社日立制作所 半导体集成电路装置及其制造方法
US20020055222A1 (en) * 1999-09-02 2002-05-09 Samsung Electronics Co., Ltd., Suwon-City, Korea Semiconductor memory device having self-aligned contact and fabricating method thereof
CN101834206A (zh) * 2010-04-12 2010-09-15 清华大学 半导体器件结构及其形成方法
CN102013424A (zh) * 2009-09-04 2011-04-13 台湾积体电路制造股份有限公司 集成电路及其制法
KR20110069305A (ko) * 2009-12-17 2011-06-23 주식회사 동부하이텍 플래시 메모리 소자 및 그 제조 방법

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11163329A (ja) * 1997-11-27 1999-06-18 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6607955B2 (en) * 1998-07-13 2003-08-19 Samsung Electronics Co., Ltd. Method of forming self-aligned contacts in a semiconductor device
KR100341663B1 (ko) * 1999-09-27 2002-06-24 윤종용 사진공정이 감소된 반도체 장치의 비트라인 콘택홀을 형성하는 방법
KR100350056B1 (ko) 2000-03-09 2002-08-24 삼성전자 주식회사 다마신 게이트 공정에서 자기정렬콘택패드 형성 방법
KR100363091B1 (ko) * 2000-06-27 2002-11-30 삼성전자 주식회사 자기정합 콘택을 갖는 반도체 메모리소자 및 그 제조방법
KR100366621B1 (ko) * 2000-06-28 2003-01-09 삼성전자 주식회사 반도체 소자의 도전성 콘택체를 형성하는 방법
KR100366622B1 (ko) * 2000-06-30 2003-01-09 삼성전자 주식회사 반도체 소자의 도전성 콘택을 형성하는 방법
US6580137B2 (en) * 2000-08-29 2003-06-17 Boise State University Damascene double gated transistors and related manufacturing methods
US6528418B1 (en) * 2001-09-20 2003-03-04 Hynix Semiconductor Inc. Manufacturing method for semiconductor device
JP2003158195A (ja) * 2001-11-20 2003-05-30 Hitachi Ltd 半導体集積回路装置の製造方法
KR100467020B1 (ko) * 2002-07-26 2005-01-24 삼성전자주식회사 자기 정렬된 접합영역 콘택홀을 갖는 반도체 장치 및 그제조 방법
JP3860582B2 (ja) * 2003-07-31 2006-12-20 株式会社東芝 半導体装置の製造方法
US20050085072A1 (en) * 2003-10-20 2005-04-21 Kim Hyun T. Formation of self-aligned contact plugs
KR100506460B1 (ko) * 2003-10-31 2005-08-05 주식회사 하이닉스반도체 반도체소자의 트랜지스터 및 그 형성방법
KR100568254B1 (ko) * 2004-02-06 2006-04-07 삼성전자주식회사 문턱 전압 조절이 가능한 전자 소자의 제조 방법과 이에사용되는 이온 주입기 조절기 및 이온 주입 시스템
KR100549005B1 (ko) * 2004-02-27 2006-02-02 삼성전자주식회사 선택적 에피성장층을 채택하여 비대칭 소오스/드레인트랜지스터를 제조하는 방법 및 그것에 의해 제조된비대칭 소오스/드레인 트랜지스터
JP2006120904A (ja) * 2004-10-22 2006-05-11 Elpida Memory Inc 半導体装置及びその製造方法
US7397073B2 (en) * 2004-11-22 2008-07-08 International Business Machines Corporation Barrier dielectric stack for seam protection
KR100640620B1 (ko) * 2004-12-27 2006-11-02 삼성전자주식회사 트윈비트 셀 구조의 nor형 플래쉬 메모리 소자 및 그제조 방법
US20060149895A1 (en) * 2005-01-04 2006-07-06 Pocrass Alan L Flash memory with integrated male and female connectors and wireless capability
KR100699865B1 (ko) * 2005-09-28 2007-03-28 삼성전자주식회사 화학기계적 연마를 이용한 자기 정렬 콘택 패드 형성 방법
KR100724568B1 (ko) * 2005-10-12 2007-06-04 삼성전자주식회사 반도체 메모리 소자 및 그 제조방법
US20070102756A1 (en) * 2005-11-10 2007-05-10 Bohumil Lojek FinFET transistor fabricated in bulk semiconducting material
US7538384B2 (en) 2005-12-05 2009-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Non-volatile memory array structure
US7368350B2 (en) * 2005-12-20 2008-05-06 Infineon Technologies Ag Memory cell arrays and methods for producing memory cell arrays
US7573108B2 (en) * 2006-05-12 2009-08-11 Micron Technology, Inc Non-planar transistor and techniques for fabricating the same
JP2008078381A (ja) * 2006-09-21 2008-04-03 Elpida Memory Inc 半導体装置及びその製造方法
KR100814391B1 (ko) * 2006-10-10 2008-03-18 삼성전자주식회사 핀 트랜지스터를 포함하는 디램 장치의 구동 방법 및 디램장치
KR20070005898A (ko) 2006-12-15 2007-01-10 임장혁 야자 열매 바나나 열매 채취 방법
US7598142B2 (en) * 2007-03-15 2009-10-06 Pushkar Ranade CMOS device with dual-epi channels and self-aligned contacts
KR100843714B1 (ko) * 2007-04-12 2008-07-04 삼성전자주식회사 콘택 구조체 형성 방법 및 이를 이용한 반도체소자의제조방법
JP4461154B2 (ja) 2007-05-15 2010-05-12 株式会社東芝 半導体装置
JP5605975B2 (ja) * 2007-06-04 2014-10-15 ピーエスフォー ルクスコ エスエイアールエル 半導体装置及びその製造方法、並びに、データ処理システム
DE102008006960B4 (de) * 2008-01-31 2009-11-26 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit selbstjustierter Kontaktstruktur und Verfahren zur Herstellung
KR100979362B1 (ko) * 2008-04-24 2010-08-31 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7875519B2 (en) * 2008-05-21 2011-01-25 Intel Corporation Metal gate structure and method of manufacturing same
KR101083644B1 (ko) 2008-07-04 2011-11-16 주식회사 하이닉스반도체 반도체 장치 및 그 제조방법
US8148776B2 (en) * 2008-09-15 2012-04-03 Micron Technology, Inc. Transistor with a passive gate
KR101061178B1 (ko) * 2008-12-30 2011-09-01 주식회사 하이닉스반도체 반도체 소자 및 그의 제조방법
KR101078726B1 (ko) * 2009-02-27 2011-11-01 주식회사 하이닉스반도체 반도체 소자 및 그의 제조방법
KR101061321B1 (ko) * 2009-03-02 2011-08-31 주식회사 하이닉스반도체 융기된 랜딩 플러그 콘택을 갖는 새들 핀 트랜지스터 및 그형성 방법
US8912602B2 (en) * 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
KR20110006930A (ko) 2009-07-15 2011-01-21 조인철 필터의 폐기처리를 위한 케이스와 카트리지 자동분리장치
JP5434360B2 (ja) * 2009-08-20 2014-03-05 ソニー株式会社 半導体装置及びその製造方法
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US9711612B2 (en) * 2010-07-30 2017-07-18 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device structure and method for fabricating the same
US8273610B2 (en) * 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US8481415B2 (en) * 2010-12-02 2013-07-09 International Business Machines Corporation Self-aligned contact combined with a replacement metal gate/high-K gate dielectric
DE102011004506B4 (de) * 2011-02-22 2012-10-18 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Herstellungsverfahren für ein Halbleiterbauelement und Halbleiterbauelement als Stegtransistor, der auf einem strukturierten STI-Gebiet durch eine späte Stegätzung hergestellt ist
US8367509B1 (en) * 2011-09-21 2013-02-05 Nanya Technology Corporation Self-aligned method for forming contact of device with reduced step height
US8846513B2 (en) * 2011-09-23 2014-09-30 Globalfoundries Inc. Semiconductor device comprising replacement gate electrode structures and self-aligned contact elements formed by a late contact fill
JP2013115272A (ja) * 2011-11-29 2013-06-10 Toshiba Corp 半導体装置とその製造方法
KR101801380B1 (ko) 2011-12-22 2017-11-27 인텔 코포레이션 반도체 구조
US9070710B2 (en) * 2013-06-07 2015-06-30 United Microelectronics Corp. Semiconductor process
US20150008524A1 (en) * 2013-07-02 2015-01-08 United Microelectronics Corp. Integrated circuit device structure and fabrication method thereof
US9806070B2 (en) * 2015-01-16 2017-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device layout, memory device layout, and method of manufacturing semiconductor device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1255236A (zh) * 1997-04-10 2000-05-31 株式会社日立制作所 半导体集成电路装置及其制造方法
US20020055222A1 (en) * 1999-09-02 2002-05-09 Samsung Electronics Co., Ltd., Suwon-City, Korea Semiconductor memory device having self-aligned contact and fabricating method thereof
CN102013424A (zh) * 2009-09-04 2011-04-13 台湾积体电路制造股份有限公司 集成电路及其制法
KR20110069305A (ko) * 2009-12-17 2011-06-23 주식회사 동부하이텍 플래시 메모리 소자 및 그 제조 방법
CN101834206A (zh) * 2010-04-12 2010-09-15 清华大学 半导体器件结构及其形成方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107706233A (zh) * 2016-08-08 2018-02-16 联华电子股份有限公司 半导体元件及其制作方法
CN108932360A (zh) * 2017-05-26 2018-12-04 台湾积体电路制造股份有限公司 集成电路及其制造方法
US11775724B2 (en) 2017-05-26 2023-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of manufacturing the same

Also Published As

Publication number Publication date
KR101801380B1 (ko) 2017-11-27
KR20210040478A (ko) 2021-04-13
KR101900024B1 (ko) 2018-09-19
KR102524562B1 (ko) 2023-04-21
US10340185B2 (en) 2019-07-02
US20210125866A1 (en) 2021-04-29
TWI501397B (zh) 2015-09-21
US10910265B2 (en) 2021-02-02
KR20160044588A (ko) 2016-04-25
US20230360972A1 (en) 2023-11-09
KR102292456B1 (ko) 2021-08-24
KR20230057484A (ko) 2023-04-28
US9716037B2 (en) 2017-07-25
US20170294350A1 (en) 2017-10-12
KR20200054336A (ko) 2020-05-19
KR20210107135A (ko) 2021-08-31
KR20140092407A (ko) 2014-07-23
TW201332109A (zh) 2013-08-01
US20130320456A1 (en) 2013-12-05
KR20170131714A (ko) 2017-11-29
KR20180104195A (ko) 2018-09-19
US11756829B2 (en) 2023-09-12
US20200194309A1 (en) 2020-06-18
US20230030806A1 (en) 2023-02-02
US20190267286A1 (en) 2019-08-29
US10607884B2 (en) 2020-03-31
WO2013095548A1 (en) 2013-06-27
KR20190058692A (ko) 2019-05-29
KR20220070071A (ko) 2022-05-27
KR101612657B1 (ko) 2016-04-14
KR102401031B1 (ko) 2022-05-24
CN104011835B (zh) 2016-10-26
KR102111791B1 (ko) 2020-05-15
US11495496B2 (en) 2022-11-08

Similar Documents

Publication Publication Date Title
US11756829B2 (en) Gate aligned contact and method to fabricate same
US11004739B2 (en) Gate contact structure over active gate and method to fabricate same
CN104160507B (zh) 在三栅极(finfet)工艺上集成多个栅极电介质晶体管的方法
CN106847924B (zh) 具有掺杂的子鳍片区域的非平面半导体器件及其制造方法
CN105655334B (zh) 具有集成的多个栅极电介质晶体管的半导体装置
US12033894B2 (en) Gate aligned contact and method to fabricate same
CN105870191B (zh) 栅极对准接触部及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant