CN101969030B - 场效应晶体管 - Google Patents

场效应晶体管 Download PDF

Info

Publication number
CN101969030B
CN101969030B CN2010102780048A CN201010278004A CN101969030B CN 101969030 B CN101969030 B CN 101969030B CN 2010102780048 A CN2010102780048 A CN 2010102780048A CN 201010278004 A CN201010278004 A CN 201010278004A CN 101969030 B CN101969030 B CN 101969030B
Authority
CN
China
Prior art keywords
region
gate stack
effect transistor
silicon
slider
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2010102780048A
Other languages
English (en)
Other versions
CN101969030A (zh
Inventor
陈华杰
杜雷斯蒂·奇达姆巴拉奥
吴尚贤
斯德哈萨·潘达
沃纳·A·劳施
佐藤力
亨利·K·尤托莫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Electronic Devices and Storage Corp
International Business Machines Corp
Original Assignee
Toshiba Corp
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp, International Business Machines Corp filed Critical Toshiba Corp
Publication of CN101969030A publication Critical patent/CN101969030A/zh
Application granted granted Critical
Publication of CN101969030B publication Critical patent/CN101969030B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1041Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a non-uniform doping structure in the channel region surface
    • H01L29/1045Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a non-uniform doping structure in the channel region surface the doping structure being parallel to the channel length, e.g. DMOS like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明涉及一种场效应晶体管FET(10),其包括栅极叠层(29),一对设置在所述栅极叠层(29)的侧壁上的第一隔离体(32)以及一对设置在所述栅极叠层(29)的相对两侧并与栅极叠层相隔第一距离的单晶半导体合金区(39)。所述FET(10)的源区和漏区(24)至少部分设置在所述半导体合金区(39)中,并由所述第一隔离体(32)对中的相应隔离体与所述栅极叠层(29)间隔开第二距离,所述第二距离可以不同于所述第一距离。

Description

场效应晶体管
本申请是申请日为2005年9月29日、国际申请号为PCT/US2005/034948、国家申请号为200580032811.9、发明名称为“使用牺牲隔离体的应变沟道FET”的专利申请的分案申请。
技术领域
本发明涉及半导体集成电路的制造,尤其涉及制造通过与沟道区相邻地设置半导体合金材料来对沟道区施加应力的应变沟道场效应晶体管(FET),比如绝缘栅场效应晶体管(IGFET)的方法和装置。
背景技术
理论研究和经验均表明,如果对晶体管的沟道区施加足够大的应力来在其中产生应变,可以极大地提高晶体管中的载流子迁移率。应力被定义为单位面积的力。应变是一个无量纲量,被定义为当在某个方向(在这个例子中是物品的长度方向)施加力时,物品在同一方向的尺度相对于原始尺度的变化,例如长度相对于原始长度的变化。因此,应变可以是张性的或者压性的。在p型场效应晶体管(PFET)中,在沟道区的长度方向施加到沟道区的压应力,也就是纵向压应力,产生公知可以提高PFET的驱动电流的应变。
共同受让的同时待审美国专利申请No.10/604607(2003年8月4日递交)以及美国专利申请No.10/605134(2003年9月10日递交)描述了向FET的沟道区施加应力以提高其驱动电流的方法。这些申请在此通过引用完全被结合到本申请中。如其所述,向FET的沟道区施加应力的一种方式是形成与沟道区相邻的半导体合金材料的浅区,所述半导体合金材料与存在于沟道区中的半导体材料之间晶格失配。这样,在一个例子中,在沟道区(形成在硅的一个区域中)的相对两侧形成单晶硅锗(SiGe)的浅区。在结合到本申请中的所述申请中还描述了在衬底的与形成FET的源区和漏区的注入相一致的区域中设置SiGe区。
但是,并不总是希望应变沟道晶体管结构的SiGe区与源极和漏极注入的位置一致。尽管SiGe区需要靠近沟道区布置以施加所需的应力来获得高驱动电流,但是,如果将其布置得太靠近则会产生问题,比如,使得晶体管的阈值电压偏离所希望的值。
另外,希望将FET的源区和漏区相互靠近,以通过使沟道区的长度(L)较小来提高FET的驱动电流iD。这遵从下面的公式:
iD=f(W/L)
其中iD是晶体管的驱动电流,W是宽度,L是沟道区长度,也就是衬底的源区和漏区之间的间隔。但是,对于源区和漏区可以设置得相互有多靠近是有限制的。如果设置得相互太靠近,则会发生短沟道效应,这会导致晶体管难以关断。如果晶体管不能完全关断,则当晶体管关断时会产生过大的泄漏电流,则导致即使在晶体管关断时也消耗更多的电能。过大的泄漏电流有时还会导致输出信号电平发生不希望有的漂移。
由于上述原因,希望提供一种结构和形成FET的方法,其中,半导体合金区形成得与沟道区之间有间隔,该间隔的选择与源区和漏区的边缘所在的位置无关。
发明内容
根据本发明的一个方面,提供了一种场效应晶体管(FET),其包括上覆盖衬底的单晶半导体区的栅极叠层、一对设置在所述栅极叠层的侧壁上的第一隔离体,以及一对主要由设置在所述栅极叠层的相对两侧的单晶半导体合金组成的区域。所述半导体合金区中的每一个与所述栅极叠层相隔第一距离。FET的源区和漏区至少部分设置在半导体合金区中的相应一个中,使得源区和漏区分别由所述第一隔离体对中的第一隔离体与栅极叠层间隔开第二距离,所述第二距离不同于所述第一距离。
根据本发明的另一方面,提供了一种制造场效应晶体管(FET)的方法,包括:将上覆盖衬底的单晶半导体区域的栅极多晶半导体层图案化,以形成栅极多晶导体(PC,polyconductor)。之后,形成牺牲隔离体,上覆盖PC的侧壁,使所述单晶半导体区的在与牺牲隔离体相邻的位置的部分凹陷。之后,在所述位置外延生长主要由单晶半导体合金组成的区域,使得所述牺牲隔离体至少部分地确定所述单晶半导体合金区和所述PC之间的第一间隔。之后去除所述牺牲隔离体,然后完成所述FET。
附图说明
图1的剖面图图解了根据本发明的一种实施方式的应变沟道场效应晶体管;
图2到图11图解了根据本发明的一种实施方式,制造图1所示的应变沟道场效应晶体管的各个阶段。
具体实施方式
在图1中以剖面图的形式图解了根据本发明的一种实施方式的应变沟道场效应晶体管(FET)。FET 10或者是具有p型导电类型的沟道区22的PFET,或者是具有n型导电类型的NFET。沟道区22设置在FET的栅极导体29的下方。当FET10是PFET时,半导体合金区39设置得靠近沟道区并向沟道区22施加纵向压应力。优选地,沟道区22设置在主要由硅组成的区域14以及主要由硅锗组成的半导体合金区中。此后,将半导体合金区39称为硅锗区39。硅锗区39与栅极导体29之间的间隔最好是10nm或者更小,以便硅锗区39向沟道区22施加具有所需幅度的应力。如上所述,这样的应力提高PFET的驱动电流,使得PFET的开关速度更类似于没有应力施加到沟道区的NFET的开关速度。但是,与PFET的情况不一样,压应力会降低NFET的驱动电流。因此,如果FET是NFET并且硅锗区39是施加纵向压应力的类型,则要么应当省略硅锗区39,要么应将其设置得与PFET的情况相比更加远离沟道区22,以避免严重影响NFET的驱动电流。在被结合到本申请中的申请中,描述了在一个衬底上同时制造具有应变沟道的PFET和NFET的方法。在下面的说明中,针对的是PFET 10的制造,前提是如在所结合的申请中所描述的那样,进行必要的修改以形成NFET。
见图1,在一种优选的实施方式中,PFET 10的沟道区22设置在衬底17的相对较薄的绝缘体上单晶半导体(SOI)层14中,所述衬底17具有隐埋氧化物(BOX,buried oxide)层18将所述SOI层14与衬底的体区16隔开。或者,衬底17可以是体衬底,在这种情况下,省略BOX层18,这样的PFET具有靠近这样的体衬底的顶面设置的沟道区。当在SOI衬底中形成场效应晶体管(FET)时,常常比在体衬底中形成FET时实现更快的开关操作,因为在SOI的情况下,消除了晶体管的沟道区22和衬底的体区16之间的结电容。
按照下面的进一步描述,提供了一种制造FET比如PFET 10的方法,该PFET 10具有设置在单晶区14内的沟道区22,该单晶区14主要由第一半导体比如硅组成。当第一半导体是硅时,PFET 10包括主要由具有与硅不匹配的晶格常数的第二半导体材料比如硅锗组成的半导体合金区39。同样,半导体合金区39在下面称为硅锗区39。在一个例子中,硅锗(SixGey)区由化学式限定,其中x和y分别是Si和Ge的重量百分比,x加y等于百分之百。x和y之间的变化范围可以相当大,例如y的变化可以从1%到99%,在这种情况下,x对应的变化范围在99%到1%之间。在一种优选的实施方式中,PFET 10具有设置在SOI层14中的沟道区。在这样的实施方式中,SOI层14主要由基本上没有Ge含量的单晶硅组成,硅锗区39的锗含量的范围在组合的合金的重量的大约10%到大约50%之间。
但是,本发明不限于制造沟道区设置在纯硅晶体中的晶体管。衬底的单晶SOI区14可以主要由硅锗组成,硅锗的比例按照第一化学式Six1Gey1,其中,x1和y1是百分数,x1+y1=100%,第二半导体的区域39主要由硅锗组成,硅锗具有根据第二化学式Six2Gey2的不同的比例,其中,x2和y2是百分数,x2+y2=100%,x1不等于x2,y1不等于y2。在根据本发明的一种优选实施方式的方法中,通过与PFET10的沟道区22相邻的外延生长来形成与第一半导体晶格失配的第二半导体。
应当理解,本发明的教导也适用于其它类型的半导体中晶体管的制造。所述其它类型的半导体比如是组成为AlAInBGaCAsDPENF的III-V化合物半导体,其中,A,B,C,D,E,F分别代表每一种元素Al、In、Ga、As、P和N在半导体晶体中的百分比,这些百分比的和为百分之百。砷化镓(GaAs)、磷化铟(InP)、氮化镓(GaN)以及InGaAsP是这样的半导体的常见的例子。或者,本发明的教导也适用于II-VI化合物半导体区中晶体管的制造。
在图1中还图示了PFET 10的沟道区22设置在栅极导体29的下方,优选地具有与栅极电介质27接触的重掺杂多晶硅的下层26。栅极电介质27最好由在单晶半导体区14上热生长的二氧化硅层组成。或者,所述栅极电介质可以是任何适合的薄电介质材料,比如氮化硅,或者公知为高介电常数或者高k电介质材料的这种材料。在一种实施方式中,晕圈区(halo region)23和扩展区(extension region)25在沟道区22附近设置得与源区和漏区24相邻。但是,在某些实施方式中,不提供晕圈区23和扩展区25,晕圈区23和扩展区25是根据PFET10的具体设计要求提供的可选的特征。
栅极导体29的多晶硅下层26被重掺杂至大约1017cm-3到大约1021cm-3之间的浓度,举例来说大约为1019cm-3左右。优选地,PFET 10的多晶硅层26包括p型掺杂剂比如硼,以在PFET在操作中导通时与沟道区22的反型层(inversion layer)的p型导电类型的功函数匹配。栅极导体29优选地还包括设置在多晶硅部分26上方的低电阻部分28。该低电阻部分28比多晶硅部分26的电阻低得多,优选地包括金属、金属硅化物或者二者都包括。在一种优选的实施方式中,该低电阻部分28包括由自对准工艺形成的硅化物(自对准硅化物,salicide),是任何合适的金属(包括但不限于钴、钼)的硅化物,镍、铌、钯、铂、钽、钛、钨和钒的单硅化物(monosilicide)。更为优选地,所述硅化物包括硅化钴、硅化钽、硅化钛、硅化钨和/或单硅化镍(nickel monosilicide)。
或者,所述栅极导体可以包括金属层(未图示)来取代与栅极电介质27接触的多晶硅层26,其也可以取代上覆的低电阻层。该金属层优选地已经被形成为在完成晶体管的源区和漏区的高温处理之后的替代栅极(replacement gate).
PFET 10的源区和漏区24至少部分地设置在硅锗区39中,源区和漏区24中的每一个由第一电介质隔离体32和设置在栅极导体29侧壁上的氧化物区31与PFET 10的栅极导体29横向隔开。这样,源区和漏区设置得处于与沟道区22的间隔有利地较小的位置,该间隔大约为5nm到15nm,在一种实施方式中为10nm。这样的间隔有利地与硅锗区到沟道区22的间隔一致。但是,源区和漏区与栅极导体之间的间隔可以不同于硅锗合金区与栅极导体之间的间隔。
作为例子,氧化物区31是通过最初填充氧化物区31之间的空间的多晶硅材料的氧化而形成的薄的热氧化物。在图1所示的实施方式中,在栅极导体29每一侧上的低电阻层40由第二电介质隔离体34与源区和漏区24间隔开。所述低电阻层最好是从淀积在硅锗层39上的金属以自对准方式形成的硅化物,即自对准硅化物,之后与硅锗反应以形成硅化物。所述硅化物可以是任何合适的金属(包括但不限于钴、钼)的化合物,镍、铌、钯、铂、钽、钛、钨和钒的单硅化物。更为优选地,所述硅化物包括硅化钴、硅化钽、硅化钛、硅化钨和/或单硅化镍。
图2到图11的横剖面图图解了根据本发明的一种优选的实施方式的绝缘栅应变沟道场效应晶体管(FET)的制造的各个阶段。与这里所引用的所有附图一样,图2所示的特征不是按比例绘制的。图2图解了制造的初始阶段,即提供用以制造FET的绝缘体上硅(SOI)衬底。如图2所示,SOI衬底17包括相对较薄的绝缘体上硅(SOI)层14以及由隐埋氧化物(BOX)层18与SOI层14分开的体区16。
图3图解了在SOI衬底17上已经形成了叠层的制造阶段。所述叠层包括(按从SOI层14向上的顺序):栅极电介质27、多晶硅层26以及按顺序为氧化物42、氮化物44和氧化物46的上覆于多晶硅层26的各层。栅极电介质27包括比如如上所述的材料,例如通过绝缘体上硅层14的热氧化或者热氮化而形成。或者,通过淀积,尤其是通过低压化学蒸汽淀积(LPCVD)来形成栅极电介质27。通过淀积还可以形成其它类型的电介质来取代二氧化硅或者氮化硅,比如从若干种公知类别的高介电常数材料(也称为高k电介质材料)中的任何一种类别选择的电介质。
具体如图3所示,氧化物层46用作图案化的硬掩模层,用于对下伏于氧化物层46的各层进行图案化。这样的硬掩模层优选地由从四乙基原硅酸盐(TEOS)前体淀积的层提供,或者由硼磷硅酸盐(borophosphosilicate)玻璃(BPSG)或者未掺杂硅酸盐玻璃(USG)提供。在此制造阶段,多晶硅层26优选地为未掺杂的或者轻掺杂的,并在稍后的制造阶段例如通过离子注入被掺杂到优选的重掺杂剂浓度。
图4图解了在从叠层图案化了栅极叠层之后的随后的制造阶段。如图4所示,现在,栅极多晶硅层26、栅极盖层氧化物(gate cap oxide)42和栅极盖层氮化物(gate cap nitride)44留下,作为栅极电介质27上的图案化栅极叠层,栅极电介质27又上覆在衬底17上。另外,通过图案化暴露栅极多晶硅层26的侧壁,其然后比如通过热氧化被氧化,形成氧化物区31。
之后,如图5所示,在上覆多晶硅层26的侧壁的氧化物区31上形成一对牺牲隔离体50。所述隔离体50优选由相对于二氧化硅具有良好的蚀刻选择性的电介质材料例如氮化硅形成。
之后,如图6所示,对SOI层14的区域65执行垂直导入离子注入(vertically directed ion implant)58,以帮助限定后将要形成的硅锗区的底缘60的深度以及横向尺度62。该离子注入具有改变在区域65中的被注入的单晶硅材料,以允许其中的材料相对于SOI层14的其它区域被优先蚀刻的功能。这样的离子注入例如通过以足够的能量按剂量注入锗(Ge)离子来进行,以将其中的单晶硅材料“预先无定形化”(pre-amorphize)。如今通常使用的SOI衬底中的SOI层14比较薄,例如小于100nm,更为常见的是厚度在大约40nm和70nm之间。优选地,离子注入扩展到靠近BOX层18的顶面的深度60,以使稍后形成的硅锗区在那些区域65基本上取代SOI层14。期望的是,离子注入从SOI层14的顶面(由栅极电介质27限定)算起的深度60为BOX层18的顶面64的深度的80%或者更大。在一种实施方式中,当SOI层14的厚度为大约40nm时,区域65最好被注入到30nm或者更大的深度。与SOI层14具有相同或者类似厚度的单晶SiGe区对相邻的硅区产生压应力。这样的由在深度60的SiGe区施加的应力高于SiGe区比SOI层14薄很多时所能实现的应力,这是由于应力分布到更大面积的硅上。
见图7,执行一种工艺,相对于SOI层14的单晶硅材料选择性地优先蚀刻SOI层14的被注入的区域。该工艺导致产生具有深度60和横向尺度62的开口区66,其总体上与预先无定形化的区域65(图6)的深度和横向尺度一致。用反应离子蚀刻(RIE)工艺、相对于没有预先无定形化的层14的单晶硅材料有选择性的各向同性蚀刻或者二者的组合进行这样的蚀刻工艺。例如,在一种实施方式中,执行RIE工艺,接着进行为了“清洁”的目的的各向同性蚀刻,以去除层14的在RIE工艺之后留下的受损区域。这样的为了清洁目的的蚀刻可以是两步骤工艺的一部分,在所述两步骤工艺中,首先氧化暴露的表面68(例如用热氧化),然后去除氧化物(例如各向同性蚀刻)。在另一种实施方式中,进行RIE工艺,接着对剩余的硅层14进行短时间的各向同性蚀刻,形成开口区66,其总体上与预先无定形化的区域(图6)一致。在另一种实施方式中,可以在这样的条件下进行各向同性蚀刻,以底切(undercut)下伏于部分隔离体50之下的半导体材料。另外还参考图1,每一个隔离体50被这样的蚀刻底切的横向距离是另一个可用来控制SiGe区39与FET 10的沟道区22的接近程度的参数。
之后,如图8所示,在单晶硅的顶面68上选择性地外延生长单晶硅锗(SiGe)层,以形成硅锗区39。在此选择性生长工艺中,在被隔离体50、氧化物盖层42和氮化物盖层44覆盖的区域不生长或者淀积SiGe材料。在一种SOI层14包括具有一定锗(Ge)含量的SiGe的实施方式中,此时生长的SiGe区39比SOI层14具有实质上更高百分比的锗。作为影响要施加到FET的沟道区的应力的参数,根据区域39到栅极多晶硅26的边缘的接近程度、区域39的厚度以及SOI层14的Ge含量(如果有的话),选择区域39的Ge百分比含量。
此时,牺牲隔离体50已经履行了其隔离SiGe区39与栅极多晶硅26的功能,然后被从结构中去除。例如通过对隔离体50的氮化硅材料进行相对于氧化物以及硅和SiGe有选择性的各向同性蚀刻来去除隔离体50。隔离体50的去除还导致氮化物盖层44的去除,留下氧化物盖层42和侧壁氧化物区31在栅极多晶硅层26上方,如图9所示。
见图10,在去除牺牲隔离体后,使用栅极多晶硅26和氧化物区31作为掩模执行注入,以形成与沟道区22相邻的扩展区25和晕圈区23。该工艺导致SiGe区39被注入到如图10所示的深度70。在形成硅锗区之后形成晕圈区和扩展区是有益的,如下所述。硅锗区最好形成在掺杂剂浓度在空间上均匀的单晶半导体例如单晶硅的表面上。当注入晕圈区和扩展区时,形成垂直方向不均匀的掺杂剂分布。不希望在具有非均匀掺杂剂分布的表面上进行硅锗的外延生长,因为非均匀的掺杂剂分布会导致晶格在硅锗与硅晶体相遇的位置产生缺陷。这样的缺陷会使要由硅锗区施加到晶体管沟道区的应力的特性变差。根据本发明的该实施方式,可以通过以下方式避免上述问题:通过使用牺牲隔离体在包括硅区的侧壁30的表面上生长硅锗区,去除隔离体,之后执行晕圈注入和扩展注入。
之后,见图11,在结构的侧壁上,在侧壁氧化物区31上形成新的一对隔离体32。在一种实施方式中,所述新的隔离体32由氮化硅形成,以允许通过相对于氧化物盖层42的氧化物材料以及结构的硅和SiGe材料具有选择性的RIE工艺形成隔离体。但是,在形成隔离体32时可以使用能够相对于二氧化硅和其它硅氧化物、硅和SiGe被选择性蚀刻的任何电介质材料,例如其它非导电氮化物。使用栅极多晶硅26、氧化物区31和隔离体32作为掩模,进行另外的注入72以形成FET的源区和漏区24。该注入还具有将SiGe区39注入到一个深度74的效应,该深度可以与所述晕圈注入和/或扩展注入所到达的深度70(图10)相同或者不同。在一种实施方式中,用比用来形成晕圈和扩展区的注入大一个或者多个数量级的剂量进行源区和漏区注入72。由于源区和漏区24与栅极多晶硅26的间隔是由隔离体32限定的,硅锗区39与栅极多晶硅26的间隔是由牺牲隔离体50(图6)限定的,显然,使用本发明的该实施方式可以独立地控制所述间隔。这样,尽管源区和漏区24与栅极多晶硅26的具体间隔最好接近硅锗区39的间隔,但是源区和漏区24与栅极多晶硅可以间隔得近一些,或者远一些。
回到图1,进行处理以完成FET 10。如图中所示,在第一隔离体32的侧壁上形成附加隔离体34,附加隔离体34由电介质材料比如氮化物,尤其是氮化硅组成,可以以相比较而言比氧化物、硅和SiGe快得多的速度被蚀刻。之后,例如使用相对于氮化硅有选择性的蚀刻工艺,去除覆盖栅极多晶硅层26的顶面的氧化物盖层42(图11)。然后淀积金属,其经过与多晶硅和SiGe的反应而形成硅化物。硅化物形成金属(形成硅化物的金属)可以是下述金属中的一种或者多种,所述金属包括但不限于:钴、钼,镍、铌、钯、铂、钽、钛、钨和钒的单硅化物。更为优选地,该步骤形成的硅化物是硅化钴、硅化钽、硅化钛、硅化钨或者单硅化镍。
然后加热衬底17以加速反应,形成上覆盖SiGe区39的硅化物40和上覆盖栅极多晶硅层26的栅极硅化物层28。这样的硅化物(只在金属接触硅和SiGe的区域中形成)众所周知为自对准硅化物或者称salicide。在这个例子中,硅化物40和28与介于栅极多晶硅26和SiGe区39之间的隔离体32、34自对准。在隔离体32之后形成的隔离体34用来与SiGe区到栅极多晶硅26的间隔无关地控制硅化物区40的间隔。厚度可以与隔离体32无关地进行调节的隔离体34允许独立地控制硅化物区40和栅极多晶硅26之间的间隔。
这样,本发明提供了一种结构和一种方法,通过该方法,在FET的半导体合金区39之后形成晕圈区23和扩展区25的位置,并且所述位置的控制独立于源区和漏区24的位置。这样,本发明提供了一种改进的方法和结构,用于控制具有应变沟道区的FET的制造。
上面结合本发明的特定的优选实施方式对本发明进行了描述,但是本领域的普通技术人员应当理解可以在不脱离本发明的仅由所附权利要求限定的实质范围的前体下进行许多修改和改进。例如,在初始的图案化栅极叠层(图4)中,可以在多晶硅层26的侧壁上淀积氮化物区取代氧化物区31,并且可以使用氮化物盖层取代上覆盖多晶硅层26的氧化物盖层42。在这种情况下,隔离体50可以由氧化物而不是氮化物形成,然后可以选择用来去除隔离体50的工艺,使得相对于氮化物选择性蚀刻氧化物。
工业实用性
本发明的结构和方法可用于半导体集成电路的制造,提供一种成本经济的方法来制造通过相邻于沟道区设置的半导体合金材料向沟道区施加应力的应变沟道场效应晶体管(FET)比如绝缘栅场效应晶体管(IGFET)。

Claims (14)

1.一种场效应晶体管(10),包括:
上覆盖衬底(17)的单晶半导体区(14)的栅极叠层(29),所述单晶半导体区(14)具有第一组成;
一对设置在所述栅极叠层(29)的相对侧壁上的第一隔离体(32);
一对由具有不同于所述第一组成的第二组成的单晶半导体合金组成的半导体合金区(39),所述半导体合金区(39)设置在所述栅极叠层(29)的相对侧,每一个所述半导体合金区(39)与所述栅极叠层(29)相隔第一距离;以及
分别至少部分设置在所述半导体合金区(39)中的相应一个中的一对源区和漏区(24),所述源区和所述漏区(24)分别通过所述第一隔离体(32)对中的相应一个与所述栅极叠层(29)间隔开第二距离,所述第二距离不同于所述第一距离,
其中,所述衬底(17)是绝缘体上硅衬底,所述单晶半导体区(14)是设置在所述绝缘体上硅衬底(17)的隐埋氧化物层(18)的上方的单晶硅区,
所述半导体合金区(39)的底缘(60)距所述单晶硅区(14)的顶面的深度为所述隐埋氧化物层(18)的顶部(64)距所述单晶硅区(14)的顶面的深度的80%或者更大。
2.如权利要求1所述的场效应晶体管,其中,所述第二距离比所述第一距离长。
3.如权利要求1所述的场效应晶体管,其中,所述半导体合金区(39)由硅锗组成。
4.如权利要求1所述的场效应晶体管,其中,所述半导体合金区(39)至少部分设置在设置于所述单晶半导体区(14)中的沟槽中。
5.如权利要求1所述的场效应晶体管,其中,所述底缘(60)的所述深度为所述隐埋氧化物层(18)的所述顶部(64)的所述深度的90%。
6.如权利要求1所述的场效应晶体管,还包括下伏于所述第一隔离体(32)并且至少部分下伏于所述栅极叠层(29)的扩展区(25)。
7.如权利要求6所述的场效应晶体管,还包括下伏于所述第一隔离体(32)并且至少部分下伏于所述栅极叠层(29)的晕圈区(23)。
8.如权利要求1所述的场效应晶体管,其中,所述栅极叠层(29)的所述侧壁被氧化,其中,所述第一隔离体(32)设置在被氧化的侧壁(31)上。
9.如权利要求1所述的场效应晶体管,还包括从所述第一隔离体(32)向外横向设置的第二隔离体(34)。
10.如权利要求9所述的场效应晶体管,还包括上覆盖所述半导体合金区(39)的硅化物区(40),所述硅化物区(40)通过所述第一隔离体(32)和所述第二隔离体(34)与所述栅极叠层(29)隔开。
11.如权利要求1所述的场效应晶体管,其中,所述栅极叠层(29)包括栅极硅化物区(28)和多晶半导体区(26),所述栅极硅化物区(28)上覆盖所述多晶半导体区(26)并与所述多晶半导体区(26)自对准。
12.如权利要求1所述的场效应晶体管,其中,所述第二距离小于所述第一距离。
13.如权利要求1所述的场效应晶体管,其中,所述单晶半导体合金包含至少两种半导体材料。
14.一种场效应晶体管(10),包括:
上覆盖绝缘体上硅衬底(17)的单晶硅区(14)的栅极叠层(29);
一对设置在所述栅极叠层(29)的相对侧壁上的第一隔离体(32);
一对由单晶硅锗组成的设置在所述栅极叠层(29)的相对侧的硅锗区(39),每一个所述硅锗区(39)与所述栅极叠层(29)相隔第一距离;
分别至少部分设置在所述硅锗区(39)中的相应一个中的一对源区和漏区(24),所述源区和所述漏区(24)分别通过所述第一隔离体(32)对中的相应一个与所述栅极叠层(29)间隔开第二距离;以及
硅化物区,所述硅化物区中的至少一个(28)被设置为所述栅极叠层(29)的层,并且所述硅化物区中的至少另一个(40)至少部分上覆盖所述硅锗区(39),
其中,所述衬底(17)是绝缘体上硅衬底,所述单晶硅区(14)是设置在所述绝缘体上硅衬底(17)的隐埋氧化物层(18)的上方的单晶硅区,
所述硅锗区(39)的底缘(60)距所述单晶硅区(14)的顶面的深度为所述隐埋氧化物层(18)的顶部(64)距所述单晶硅区(14)的顶面的深度的80%或者更大。
CN2010102780048A 2004-09-29 2005-09-29 场效应晶体管 Active CN101969030B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/711,637 US7135724B2 (en) 2004-09-29 2004-09-29 Structure and method for making strained channel field effect transistor using sacrificial spacer
US10/711,637 2004-09-29

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2005800328119A Division CN101032018B (zh) 2004-09-29 2005-09-29 制造场效应晶体管的方法

Publications (2)

Publication Number Publication Date
CN101969030A CN101969030A (zh) 2011-02-09
CN101969030B true CN101969030B (zh) 2012-06-20

Family

ID=36098032

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2010102780048A Active CN101969030B (zh) 2004-09-29 2005-09-29 场效应晶体管
CN2005800328119A Active CN101032018B (zh) 2004-09-29 2005-09-29 制造场效应晶体管的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2005800328119A Active CN101032018B (zh) 2004-09-29 2005-09-29 制造场效应晶体管的方法

Country Status (8)

Country Link
US (2) US7135724B2 (zh)
EP (1) EP1805796B1 (zh)
JP (1) JP5571286B2 (zh)
KR (1) KR101006306B1 (zh)
CN (2) CN101969030B (zh)
SG (1) SG142307A1 (zh)
TW (1) TWI370547B (zh)
WO (1) WO2006039377A1 (zh)

Families Citing this family (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3901696B2 (ja) * 2004-02-19 2007-04-04 株式会社東芝 半導体装置及びその製造方法
JP2005332993A (ja) * 2004-05-20 2005-12-02 Sanyo Electric Co Ltd 半導体装置および半導体装置の製造方法
EP1650796A3 (fr) * 2004-10-20 2010-12-08 STMicroelectronics (Crolles 2) SAS Procédé de prise de contact sur une région d'un circuit intégré, en particulier sur les électrodes d'un transistor
US7217647B2 (en) * 2004-11-04 2007-05-15 International Business Machines Corporation Structure and method of making a semiconductor integrated circuit tolerant of mis-alignment of a metal contact pattern
US7397081B2 (en) * 2004-12-13 2008-07-08 International Business Machines Corporation Sidewall semiconductor transistors
US20080121932A1 (en) 2006-09-18 2008-05-29 Pushkar Ranade Active regions with compatible dielectric layers
US7545023B2 (en) * 2005-03-22 2009-06-09 United Microelectronics Corp. Semiconductor transistor
US7446350B2 (en) * 2005-05-10 2008-11-04 International Business Machine Corporation Embedded silicon germanium using a double buried oxide silicon-on-insulator wafer
US8003470B2 (en) * 2005-09-13 2011-08-23 Infineon Technologies Ag Strained semiconductor device and method of making the same
CN100536090C (zh) * 2005-09-19 2009-09-02 中芯国际集成电路制造(上海)有限公司 形成cmos半导体器件的方法
US20070132034A1 (en) * 2005-12-14 2007-06-14 Giuseppe Curello Isolation body for semiconductor devices and method to form the same
US7696019B2 (en) * 2006-03-09 2010-04-13 Infineon Technologies Ag Semiconductor devices and methods of manufacturing thereof
DE102006015077B4 (de) * 2006-03-31 2010-12-23 Advanced Micro Devices, Inc., Sunnyvale Transistor mit abgesenkten Drain- und Source-Gebieten und Verfahren zur Herstellung desselben
GB2449824B (en) * 2006-03-31 2011-03-23 Advanced Micro Devices Inc Technique for providing stress sources in transistors in close proximity to a channel region by recessing drain and source regions
DE102006019937B4 (de) * 2006-04-28 2010-11-25 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines SOI-Transistors mit eingebetteter Verformungsschicht und einem reduzierten Effekt des potentialfreien Körpers
US20070257315A1 (en) * 2006-05-04 2007-11-08 International Business Machines Corporation Ion implantation combined with in situ or ex situ heat treatment for improved field effect transistors
US7618866B2 (en) * 2006-06-09 2009-11-17 International Business Machines Corporation Structure and method to form multilayer embedded stressors
DE102006030268B4 (de) * 2006-06-30 2008-12-18 Advanced Micro Devices Inc., Sunnyvale Verfahren zum Ausbilden einer Halbleiterstruktur, insbesondere eines FETs
EP1936696A1 (en) * 2006-12-22 2008-06-25 INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM vzw (IMEC) A field effect transistor device and methods of production thereof
US7525161B2 (en) * 2007-01-31 2009-04-28 International Business Machines Corporation Strained MOS devices using source/drain epitaxy
DE102007004862B4 (de) * 2007-01-31 2014-01-30 Globalfoundries Inc. Verfahren zur Herstellung von Si-Ge enthaltenden Drain/Source-Gebieten in Transistoren mit geringerem Si/Ge-Verlust
US7544997B2 (en) * 2007-02-16 2009-06-09 Freescale Semiconductor, Inc. Multi-layer source/drain stressor
US7732285B2 (en) * 2007-03-28 2010-06-08 Intel Corporation Semiconductor device having self-aligned epitaxial source and drain extensions
US7485519B2 (en) * 2007-03-30 2009-02-03 International Business Machines Corporation After gate fabrication of field effect transistor having tensile and compressive regions
WO2008137724A1 (en) * 2007-05-03 2008-11-13 Dsm Solutions, Inc. Strained channel p-type jfet and fabrication method thereof
US7615831B2 (en) * 2007-10-26 2009-11-10 International Business Machines Corporation Structure and method for fabricating self-aligned metal contacts
KR100949804B1 (ko) * 2007-12-14 2010-03-30 한국전자통신연구원 자기장 감지소자
US7964923B2 (en) 2008-01-07 2011-06-21 International Business Machines Corporation Structure and method of creating entirely self-aligned metallic contacts
US20100044804A1 (en) * 2008-08-25 2010-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Novel high-k metal gate structure and method of making
DE102009015715B4 (de) * 2009-03-31 2011-03-17 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren zur Herstellung eines Transistorbauelements mit Bewahren der Integrität eines Gatestapel mit großem ε durch einen Versatzabstandshalter, der zum Bestimmen eines Abstands einer verformungsinduzierenden Halbleiterlegierung verwendet wird, und Transistorbauelement
US8198194B2 (en) * 2010-03-23 2012-06-12 Samsung Electronics Co., Ltd. Methods of forming p-channel field effect transistors having SiGe source/drain regions
CN102315126A (zh) * 2010-07-07 2012-01-11 中国科学院微电子研究所 半导体器件及其制作方法
US8361859B2 (en) 2010-11-09 2013-01-29 International Business Machines Corporation Stressed transistor with improved metastability
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8466502B2 (en) 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8445363B2 (en) 2011-04-21 2013-05-21 United Microelectronics Corp. Method of fabricating an epitaxial layer
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure
US8426284B2 (en) 2011-05-11 2013-04-23 United Microelectronics Corp. Manufacturing method for semiconductor structure
US8481391B2 (en) 2011-05-18 2013-07-09 United Microelectronics Corp. Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure
US8431460B2 (en) 2011-05-27 2013-04-30 United Microelectronics Corp. Method for fabricating semiconductor device
US8716750B2 (en) 2011-07-25 2014-05-06 United Microelectronics Corp. Semiconductor device having epitaxial structures
US8575043B2 (en) 2011-07-26 2013-11-05 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8647941B2 (en) 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8476169B2 (en) 2011-10-17 2013-07-02 United Microelectronics Corp. Method of making strained silicon channel semiconductor structure
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US8754448B2 (en) 2011-11-01 2014-06-17 United Microelectronics Corp. Semiconductor device having epitaxial layer
US8647953B2 (en) 2011-11-17 2014-02-11 United Microelectronics Corp. Method for fabricating first and second epitaxial cap layers
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
JP2013145800A (ja) * 2012-01-13 2013-07-25 National Institute Of Advanced Industrial & Technology 半導体装置及びその製造方法
US9680027B2 (en) * 2012-03-07 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Nickelide source/drain structures for CMOS transistors
US9136348B2 (en) 2012-03-12 2015-09-15 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
CN103311184B (zh) * 2012-03-12 2015-11-25 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法,cmos的形成方法
US9202914B2 (en) 2012-03-14 2015-12-01 United Microelectronics Corporation Semiconductor device and method for fabricating the same
US8664069B2 (en) 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
CN102789106B (zh) 2012-04-24 2015-01-07 京东方科技集团股份有限公司 有机薄膜晶体管阵列基板及其制备方法以及显示装置
US8866230B2 (en) 2012-04-26 2014-10-21 United Microelectronics Corp. Semiconductor devices
US8835243B2 (en) 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
CN103681328B (zh) * 2012-09-10 2016-06-29 中国科学院微电子研究所 一种制造场效应晶体管的方法
CN103681333B (zh) * 2012-09-12 2016-08-31 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
KR102037864B1 (ko) * 2013-01-04 2019-10-29 삼성전자주식회사 내장 스트레서를 갖는 반도체 소자 및 그 형성 방법
US9117925B2 (en) 2013-01-31 2015-08-25 United Microelectronics Corp. Epitaxial process
US8753902B1 (en) 2013-03-13 2014-06-17 United Microelectronics Corp. Method of controlling etching process for forming epitaxial structure
US9034705B2 (en) 2013-03-26 2015-05-19 United Microelectronics Corp. Method of forming semiconductor device
US9064893B2 (en) 2013-05-13 2015-06-23 United Microelectronics Corp. Gradient dopant of strained substrate manufacturing method of semiconductor device
US9076652B2 (en) 2013-05-27 2015-07-07 United Microelectronics Corp. Semiconductor process for modifying shape of recess
US8853060B1 (en) 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
CN104425281B (zh) * 2013-09-09 2018-08-24 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN104465789B (zh) * 2013-09-24 2017-09-22 中芯国际集成电路制造(上海)有限公司 Mos晶体管及对应的形成方法
US9490340B2 (en) 2014-06-18 2016-11-08 Globalfoundries Inc. Methods of forming nanowire devices with doped extension regions and the resulting devices
US9431512B2 (en) * 2014-06-18 2016-08-30 Globalfoundries Inc. Methods of forming nanowire devices with spacers and the resulting devices
US9472628B2 (en) 2014-07-14 2016-10-18 International Business Machines Corporation Heterogeneous source drain region and extension region
CN105575815B (zh) * 2014-11-05 2018-06-05 上海华力微电子有限公司 半导体器件的形成方法
US9831090B2 (en) 2015-08-19 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor device having gate spacer protection layer
US9548314B1 (en) 2015-11-19 2017-01-17 Nxp Usa, Inc. Method of making a non-volatile memory (NVM) with trap-up reduction
US9627510B1 (en) 2015-12-02 2017-04-18 International Business Machines Corporation Structure and method for replacement gate integration with self-aligned contacts
CN109755180B (zh) * 2017-11-07 2021-01-12 华邦电子股份有限公司 半导体结构的制造方法
TWI666681B (zh) * 2018-07-18 2019-07-21 帥群微電子股份有限公司 半導體功率元件及其製造方法
US11183978B2 (en) * 2019-06-06 2021-11-23 International Business Machines Corporation Low-noise amplifier with quantized conduction channel
CN116799005B (zh) * 2023-08-22 2023-11-28 合肥晶合集成电路股份有限公司 一种半导体结构及其制备方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5703015A (en) * 1990-08-09 1997-12-30 Monsanto Company Pesticidal compositions of polyoxyalkylene alkylamine surfactants having reduced eye irritation
US5710450A (en) * 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
JP2924947B2 (ja) * 1996-01-09 1999-07-26 日本電気株式会社 半導体装置の製造方法
US5869359A (en) * 1997-08-20 1999-02-09 Prabhakar; Venkatraman Process for forming silicon on insulator devices having elevated source and drain regions
US6121100A (en) * 1997-12-31 2000-09-19 Intel Corporation Method of fabricating a MOS transistor with a raised source/drain extension
US6136636A (en) * 1998-03-25 2000-10-24 Texas Instruments - Acer Incorporated Method of manufacturing deep sub-micron CMOS transistors
US6049114A (en) * 1998-07-20 2000-04-11 Motorola, Inc. Semiconductor device having a metal containing layer overlying a gate dielectric
KR100269336B1 (ko) * 1998-09-16 2000-10-16 윤종용 전도층이 포함된 게이트 스페이서를 갖는 반도체 소자 및 그 제조방법
US6312998B1 (en) * 2000-10-16 2001-11-06 Advanced Micro Devices, Inc. Field effect transistor with spacers that are removable with preservation of the gate dielectric
US6509241B2 (en) * 2000-12-12 2003-01-21 International Business Machines Corporation Process for fabricating an MOS device having highly-localized halo regions
JP2002261292A (ja) * 2000-12-26 2002-09-13 Toshiba Corp 半導体装置及びその製造方法
US6432754B1 (en) * 2001-02-20 2002-08-13 International Business Machines Corporation Double SOI device with recess etch and epitaxy
US6521949B2 (en) * 2001-05-03 2003-02-18 International Business Machines Corporation SOI transistor with polysilicon seed
KR20020091886A (ko) * 2001-06-01 2002-12-11 주식회사 하이닉스반도체 실리콘-게르마늄 선택적 에피택셜 성장을 이용한 샬로우정션 형성 방법
JP5000057B2 (ja) * 2001-07-17 2012-08-15 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US6974735B2 (en) * 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
US6559017B1 (en) * 2002-06-13 2003-05-06 Advanced Micro Devices, Inc. Method of using amorphous carbon as spacer material in a disposable spacer process
JP2004095639A (ja) * 2002-08-29 2004-03-25 Fujitsu Ltd 半導体装置及びその製造方法
US6800530B2 (en) * 2003-01-14 2004-10-05 International Business Machines Corporation Triple layer hard mask for gate patterning to fabricate scaled CMOS transistors
JP2004241755A (ja) * 2003-01-15 2004-08-26 Renesas Technology Corp 半導体装置
US6891192B2 (en) * 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US6906360B2 (en) 2003-09-10 2005-06-14 International Business Machines Corporation Structure and method of making strained channel CMOS transistors having lattice-mismatched epitaxial extension and source and drain regions
US6872626B1 (en) * 2003-11-21 2005-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a source/drain and a transistor employing the same

Also Published As

Publication number Publication date
TWI370547B (en) 2012-08-11
JP2008515205A (ja) 2008-05-08
WO2006039377A1 (en) 2006-04-13
CN101032018B (zh) 2012-02-01
EP1805796A4 (en) 2008-10-01
US7135724B2 (en) 2006-11-14
EP1805796A1 (en) 2007-07-11
SG142307A1 (en) 2008-05-28
US20060065914A1 (en) 2006-03-30
JP5571286B2 (ja) 2014-08-13
KR101006306B1 (ko) 2011-01-06
KR20070053300A (ko) 2007-05-23
CN101969030A (zh) 2011-02-09
US20060292779A1 (en) 2006-12-28
TW200616225A (en) 2006-05-16
CN101032018A (zh) 2007-09-05
EP1805796B1 (en) 2013-02-13
US7645656B2 (en) 2010-01-12

Similar Documents

Publication Publication Date Title
CN101969030B (zh) 场效应晶体管
US6979622B1 (en) Semiconductor transistor having structural elements of differing materials and method of formation
KR101020811B1 (ko) 개선된 캐리어 이동도를 구비한 finfet과 그 형성방법
CN1985375B (zh) 具有应变沟道cmos晶体管的结构及其制造方法
US8871584B2 (en) Replacement source/drain finFET fabrication
CN101604691B (zh) 半导体器件和半导体器件的制造方法
US6949787B2 (en) Transistor having high dielectric constant gate insulating layer and source and drain forming Schottky contact with substrate
US7812397B2 (en) Ultra thin channel (UTC) MOSFET structure formed on BOX regions having different depths and different thicknesses beneath the UTC and source/drain regions and method of manufacture thereof
WO2003105233A1 (en) Elevated source and drain elements for strained-channel heteroju nction field-effect transistors
CN103325787B (zh) Cmos器件及其制造方法
US20060199343A1 (en) Method of forming MOS transistor having fully silicided metal gate electrode
US7101766B2 (en) Methods of fabricating semiconductor device having T-shaped gate and L-shaped spacer
US20060079059A1 (en) Transistor having high dielectric constant gate insulating layer and source and drain forming schottky contact with substrate
CN111564498A (zh) 一种隧穿晶体管的漏端负交叠区自对准制备方法
TWI779103B (zh) 半導體結構及其製造方法
CN105244275B (zh) 具有突变隧穿结的pnin/npip型绝缘层上张应变锗tfet及制备方法
CN102820307B (zh) 一种基于SOI衬底的双多晶平面应变BiCMOS集成器件及制备方法
KR20030095595A (ko) 피모스 소자 및 그 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20180806

Address after: American New York

Co-patentee after: Toshiba electronic components and storage plant

Patentee after: International Business Machines Corp.

Address before: American New York

Co-patentee before: Toshiba Corp

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right