CN101908501A - 扩散阻挡及其形成方法 - Google Patents

扩散阻挡及其形成方法 Download PDF

Info

Publication number
CN101908501A
CN101908501A CN2010101960734A CN201010196073A CN101908501A CN 101908501 A CN101908501 A CN 101908501A CN 2010101960734 A CN2010101960734 A CN 2010101960734A CN 201010196073 A CN201010196073 A CN 201010196073A CN 101908501 A CN101908501 A CN 101908501A
Authority
CN
China
Prior art keywords
layer
iridium
barrier layer
deposition
interlevel dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2010101960734A
Other languages
English (en)
Inventor
帕特里克·W·德黑文
丹尼尔·C·埃德尔斯坦
菲利普·L·弗莱茨
野上毅
斯蒂芬·M·罗斯纳格尔
杨智超
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN101908501A publication Critical patent/CN101908501A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24917Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.] including metal layer

Abstract

本发明公开了一种扩散阻挡及其形成方法。该在半导体装置的制造中使用的形成扩散阻挡的方法,包括在构图的层间电介质(ILD)层上方,通过物理气相沉积(PVD)工艺,沉积掺杂以铱的钽基阻挡层,其中所述阻挡层以至少60%原子重量的铱浓度沉积使得所述阻挡层具有所得的非晶结构。

Description

扩散阻挡及其形成方法
技术领域
本发明总体涉及半导体装置制造技术,更具体地,涉及具有非晶钽铱扩散阻挡的铜互连结构。
背景技术
随着集成电路装置尺寸持续减小以便实现更高的工作频率,更低的功耗,和整体更高的生产率,通过所谓双金属镶嵌工艺形成的铜互连结构对于制造和性能两者都面临日益增加的困难。具体地,由于互连特征尺寸(例如,铜线的宽度和通孔的尺寸)变得越来越小,通过电镀用铜填充被蚀刻的沟槽/通孔变得更为困难。铜的电镀发生于铜仔晶层上,它继而通过物理气相沉积(PVD)形成于衬垫材料的顶上。
但是,因为铜仔晶层的有限的共形度,仔晶层可以在其中具有一或者更多的不连续。在铜仔晶不连续的位置,其下的作为铜扩散阻挡工作的衬垫的表面(它通常是钽(Ta)或者氮化钽(TaN))在PVD工艺之后变得暴露于空气并且被氧化。结果,铜的电镀不发生于被氧化的衬垫表面的顶上,因为对于铜离子的电子的供给(它对于铜的电镀是必需的反应)在被氧化的衬垫表面被抑制。结果,仔晶层的不连续部具有铜的界面,其中原子键这样弱使得所述不连续在退火工艺期间作为空泡成核位置。退火工艺继而被用于生长大铜颗粒,以提高互连系统的可靠性。随后,空泡成核位置在退火工艺期间或者在后续的形成其他的金属层从而完成芯片制造的加热工艺期间引起空泡形成。还可能的是,空泡成核可以由于电迁移或者其它应力引起的迁移现象的不利地影响芯片操作。总之,这样的空泡成核导致低产率或者低的产品可靠性。
发明内容
在典型实施例中,用于半导体装置制造中的扩散阻挡的形成方法包括,通过物理气相沉积(PVD)工艺在构图的层间电介质(ILD)层的上方沉积铱掺杂的钽基阻挡层,其中阻挡层以至少60%的原子重量的铱浓度沉积,使得阻挡层具有所得的非晶结构。
在另一实施例中,半导体装置的扩散阻挡结构包括形成于构图的层间电介质(ILD)层上方的铱掺杂的钽基阻挡层;其中阻挡层以至少60%的原子重量的铱浓度形成,使得阻挡层具有所得的非晶结构。
在又一实施例中,形成半导体装置的方法包括在层间电介质(ILD)层中形成一或者更多的双金属镶嵌沟槽和通孔结构图案,所述层间电介质层形成于下导体层上方;通过物理气相沉积(PVD)工艺在构图的ILD层和下导体层的被暴露的部分上方沉积牺牲层;牺牲层包括第一铱掺杂的钽基层;选择性地去除牺牲层的水平表面并且在下导体层中形成凹坑(divot);并且,通过PVD在ILD层、保留的牺牲层的垂直部分、以及对应于凹坑的下导体层的被暴露的部分上方沉积阻挡层,阻挡层包括第二铱掺杂的钽基层;其中牺牲层和阻挡层两者都以至少60%的原子重量的铱浓度沉积以便具有所得的非晶结构。
在又一实施例中,形成半导体装置的方法包括在层间电介质(ILD)层中形成通孔图案,层间电介质层形成于下导体层上方;通过物理气相沉积(PVD)工艺在构图的ILD层和下导体层的被暴露的部分上方沉积牺牲层,牺牲层包括第一铱掺杂的钽基层;选择性地去除牺牲层的水平表面并且在下导体层中形成凹坑;在ILD层中构图一或者更多的沟槽;通过PVD,在ILD层、保留的牺牲层的垂直部分、和对应于凹坑的下导体层的被暴露的部分上方沉积阻挡层,阻挡层包括第二铱掺杂的钽基层;其中牺牲层和阻挡层两者都以至少60%的原子重量的铱浓度沉积以便具有所得的非晶结构。
附图说明
参考示例的附图,其中相似的元件在几个图中被相似地编号:
图1(a)至1(e)是示出根据本发明的实施例的在半导体装置的制造中使用的形成扩散阻挡的方法的系列截面图;
图2(a)是示例的非晶,Ir掺杂的Ta膜的电子透射显微照片(TEM)图像;
图2(b)是图2(a)的Ir掺杂的Ta膜的电子衍射图案图像;
图3(a)是Ru掺杂的TaN膜的TEM图像;
图3(b)是图3(a)的Ru掺杂的Ta膜的电子衍射图案图像;
图4(a)至4(e)示出了根据本发明另一实施例的典型工艺流程步骤的顺序,引入了非晶Ir掺杂的衬垫技术,并将牺牲衬垫步骤与通孔切割相关;以及
图5(a)至5(f)示出了根据本发明又一实施例的的典型工艺流程步骤的顺序,引入了非晶Ir掺杂的衬垫技术,以及笔直(Spang)通孔形成。
具体实施方式
为了对于铜形成解决空泡成核的问题,研究了例如钌(Ru)的稀有金属作为Ta衬垫的替代材料。与Ta相反,Ru起铜电镀的仔晶层的作用。既便在铜仔晶层中存在不连续的部分,任何Ru的暴露于空气的,不连续的部分都不被氧化并且因而起电镀仔晶层的作用。但是,为了使Ru层与器件特征共形,其必须通过化学气相沉积(CVD)或者原子层沉积(ALD)工艺而形成。这样的Ru的沉积要求另一工艺室,除了在真空连续群处理系统中的传统的Ta PVD室之外。不幸地,这导致生产成本的提高。
另一已经被研究的解决方案是使用Ru掺杂Ta衬垫或者Ru掺杂TaN衬垫,替代Ta和TaN衬垫材料。在该方案中的目的是具有既作为防止铜和水扩散的阻挡层,也作为电镀仔晶层的衬垫材料。此外,通过使用Ru掺杂TaPVD,可以使用传统的PVD系统,没有添加任何其它的工艺室。但是,在实际上,Ru掺杂Ta膜具有其中Ru晶体被埋藏于非晶Ta或者TaN中的Ru晶体的多晶微结构。随后,Ru的晶界和Ru晶粒和Ta相的界面允许铜原子沿晶界和界面扩散。因而,Ru掺杂Ta膜不起防止铜和水扩散的有效的扩散阻挡的作用,尽管它起电镀仔晶层的作用。
因而,在此公开的是可以被结合入PVD工艺的在半导体装置制造中使用的扩散阻挡。简而言之,实施例使用铱(Ir)作为对于Ta的掺杂剂,并且以产生非晶微结构和/或完全晶界填塞的成份和沉积条件。这样的非晶层的产生对于可扩展的铜金属化,无空泡的填充是有利的。为了形成非晶膜,Ir掺杂的Ta基膜中Ir的浓度应当至少是大约60%原子重量。
实施例在此可以包括非晶和填塞的合金或者混合物,它继而还可以包括阻挡金属氮化物形成(例如,α-Ta(Ir)和α-Ta(N,Ir)。这样的Ir掺杂的Ta膜可以使用Ir掺杂Ta PVD靶通过PVD而形成。与Ru相反,Ir掺杂的Ta膜被调整为非晶的;因而,不存在铜快速向外扩散进入周围的电介质的晶界。根据膜的具体成份,还可以存在热稳定的且形成良好的扩散阻挡的高效晶界填塞,与稀释的Ta-Ru系统不同。因而,因为Ir掺杂的Ta膜的非晶微结构,膜可以起扩散阻挡的作用,并且起铜沉积的电镀仔晶层的作用。
还考虑了与TaN/Ta/Cu相似的具有Cu仔晶的双层,例如Ta(N,Ir)/Ta(Ir)/Cu。这里,至少所述层的Ta(Ir)部具有非晶结构,尽管Ta(N,Ir)也可以是非晶的。另一方面,如果对于电介质材料的粘合是足够的(并且极佳的扩散阻挡性能被建立),则可以省略双层。在该情形中,仅使用了具有Cu仔晶的单层阻挡,例如α-Ta(Ir)/Cu或者α-Ta(N,Ir)/Cu。
如也将在以下进一步详细讨论的,由于Ir掺杂的衬垫的形成在单个离子化的PVD室中进行,所以工艺也与其它更为最近研发的技术相容。例如,Ir掺杂的衬垫技术可以被使用,具有所谓“牺牲衬垫”或者“阻挡第一”(“barrier-first”)步骤,与通过第一衬垫层进入下面的铜衬垫通孔切割相关,跟随着第二(Ir掺杂的)衬垫层和Cu仔晶的沉积。在任何情形中,实施在此所公开的Ir掺杂的Ta膜作为铜金属镶嵌工艺中的衬垫材料的铜互连系统可以具有高可靠性和高产率,因为在衬垫/铜界面的空泡成核位置的消除。
现在参考图1(a),示出了描述双金属镶嵌互连工艺的截面图,本扩散阻挡实施例可以被应用于该工艺。具体地,下金属层102具有形成于其上的层间电介质(ILD)层104(例如,低K材料)。如所示出的,ILD层104以金属镶嵌方式被构图(即,具有通孔和沟槽开口),通常以106被指示。随后,如在图1(b)中所示出的,Ir掺杂的Ta基阻挡层108通过PVD被形成。更具体地,阻挡层108被形成,例如,通过DC磁电管溅射,使用包括Ta和Ir的化合物PVD靶。
再次,在典型实施例中,各成份的以原子重量的相对浓度对于Ta是大约40%或者更少并且对于Ir是60%或者更多,使得阻挡层108具有非晶结构。铜仔晶层110随后通过PVD形成于Ir掺杂的Ta层108的顶上,如在图1(c)中所示出的。Ir掺杂的Ta和铜之间的界面具有比传统Ta/Cu界面高的原子键或者结合。此外,形成于Ir掺杂的Ta层108的顶上的铜仔晶层110既便在400℃被退火一小时之后也不显示团聚或者去润湿,而传统Ta/Cu界面在相同的退火之后显示团聚。在图1(d)中,保留的铜填充112被电镀,跟随着如在图1(e)中所示出的例如化学机械抛光(CMP)的其它传统金属镶嵌工艺和对于帽层(未示出)的选择性的后续CVD。
通过实施上述Ir掺杂的Ta衬垫形成的铜互连在后续的加热工艺期间不展示任何可感知的空泡形成。实质上,因为非晶Ir掺杂的Ta的补偿效果,铜和Ir掺杂的Ta的界面不具有不连续的仔晶部。因而,铜互连具有更高的电子迁移和应力迁移抵抗。
如上面所介绍的,通过使用至少60%的Ir浓度,所得的被沉积的阻挡层具有非晶结构。图2(a)是典型的非晶Ir掺杂的Ta膜的电子透射显微照片(TEM)图像。图2(b)是图2(a)的Ir掺杂的Ta膜的电子衍射图案的图像,它以图像中心的单个亮点的形式示出了其非晶特性。通过对比的方式,图3(a)是Ru掺杂的TaN膜的TEM图像。图3(b)是图3(a)的Ru掺杂的Ta膜的电子衍射图案的图像,它在图像中以其以多个同心亮圆的形式示出了其多晶特性。
如也在先前所指示的,Ir掺杂的衬垫技术可以与所谓“牺牲衬垫”或者与通孔切割相关的“阻挡第一”步骤一起使用,通过第一衬垫层进入下面的铜衬垫,跟随着第二(Ir掺杂的)衬垫层和Cu仔晶的沉积。图4(a)至4(e)示出了在该方面中的典型工艺流程步骤的顺序。在图4(a)中,双金属镶嵌通孔/沟槽图案402和沟槽图案404形成于ILD层406中,它继而在下面的布线层408上方形成。通孔/沟槽图案402的通孔部被蚀刻穿过帽层410,停止于下面的布线层408上。
在图4(b)中,牺牲衬垫412通过PVD被形成于结构的上方,其中牺牲衬垫412是恰当的原子浓度的Ir掺杂的Ta或者TaN材料以便具有非晶结构。如随后在图4(c)中所示出的,牺牲衬垫412的水平表面通过溅射被去除,例如,采用恰当的物质例如氩(Ar)离子(由箭头所指示)。该工艺还导致在下面的布线层408的被暴露的部分中形成的不连续414。随着不连续414的形成,上述类型的阻挡层416(例如,非晶Ir掺杂的Ta)形成,跟随着铜仔晶层418,如在图4(d)中所示出的。这跟随着以铜材料420完全填充金属镶嵌沟槽和通孔结构,和后续的化学机械抛光(CMP),以便导致如同在图4(e)中所示出的结构。该装置此后还根据已知的技术被处理。
最后,图5(a)至5(f)示出了结合非晶Ir掺杂的衬垫技术和笔直通孔形成的典型工艺流程步骤的顺序,根据本发明的另一实施例。在图5(a)中,通孔502最初在ILD层504中被界定,它继而形成于下面的布线层506的上方。通孔502被蚀刻穿过帽层508,停止于下面的布线层506上。硬掩模层510(例如,二氧化硅)也被示出形成于ILD层504上,它例如可以是低k材料。
在图5(b)中,牺牲层512通过PVD在该结构上方形成,其中牺牲层512是恰当的原子浓度的Ir掺杂的Ta或者TaN材料以便具有非晶结构。如随后在图5(c)中所示出的,牺牲衬垫512的水平表面通过溅射被去除,例如,采用恰当的物质例如氩(Ar)离子(由箭头所指示)。该工艺还导致在下面的布线层506的被暴露的部分中形成的不连续514。此时,沟槽结构516被构图并且被蚀刻进入ILD层504,根据如在图5(d)中所示出的已知技术。
参考图5(e),形成上述类型的阻挡层518(例如,非晶Ir掺杂的Ta),跟随着铜仔晶层520,如同在图4(d)中所示出的。这跟随着完全地以铜材料522填充金属镶嵌沟槽和通孔结构,和后续的化学机械抛光(CMP)从而导致在图5(f)中所示出的结构。注意到,CMP去除硬掩模层510。该装置此后根据已知的技术被处理。
虽然本发明已经参考优选的实施例或多个实施例被描述,但是本领域的技术人员可以理解,对于其的元素可以进行各种修改或进行等同替换,而不脱离本发明的范围。另外,对于本发明的教导可以进行许多修改以适应具体的情况或材料,而不脱离本发明的本质范围。因此,本发明旨在不限于作为实现本发明所考虑的最优方式而所披露的具体实施例,而是本发明将包括落在所附权利要求的范围内的所有实施例。

Claims (13)

1.一种在半导体装置制造中使用的形成扩散阻挡的方法,所述方法包括:
在构图的层间电介质层上方,通过物理气相沉积工艺,沉积铱掺杂的钽基阻挡层;
其中所述阻挡层以至少60%原子重量的铱浓度沉积,使得所述阻挡层具有所得的非晶结构。
2.根据权利要求1的方法,其中所述阻挡层包括非晶铱钽层和非晶铱钽氮化物层之一。
3.根据权利要求1的方法,还包括通过物理气相沉积在所述阻挡层上形成铜仔晶层。
4.根据权利要求1的方法,其中所述构图的层间电介质层在暴露其上沉积所述阻挡层的下金属层的顶表面的双金属镶嵌配置中被构图。
5.一种半导体装置的扩散阻挡结构,包括:
形成于构图的层间电介质层上方的铱掺杂的钽基阻挡层;
其中所述阻挡层以至少60%的原子重量的铱浓度形成,使得所述阻挡层具有所得的非晶结构。
6.一种形成半导体装置的方法,所述方法包括:
在层间电介质层中形成一或者更多的双金属镶嵌沟槽和通孔结构图案,所述层间电介质层形成于下导体层上方;
通过物理气相沉积工艺,在所述构图的层间电介质层和所述下导体层的被暴露的部分上方沉积牺牲层,所述牺牲层包括第一铱掺杂的钽基层;
选择性地去除所述牺牲层的水平表面并且在所述下导体层中形成凹坑;并且
通过物理气相沉积,在层间电介质层、保留的所述牺牲层的垂直部分、以及对应于所述凹坑的所述下导体层的被暴露的部分上方沉积阻挡层,所述阻挡层包括第二铱掺杂的钽基层;
其中所述牺牲和阻挡层两者都以至少60%原子重量的铱浓度沉积以便具有所得的非晶结构。
7.根据权利要求6的方法,其中所述牺牲层包括非晶铱钽层和非晶铱钽氮化物层之一。
8.根据权利要求7的方法,其中所述阻挡层包括非晶铱钽氮化物层。
9.根据权利要求7的方法,还包括通过物理气相沉积在所述阻挡层上形成铜仔晶层。
10.一种形成半导体装置的方法,所述方法包括:
在层间电介质层中形成通孔图案,所述层间电介质层形成于下导体层的上方;
通过物理气相沉积工艺,在所述构图的层间电介质层和所述下导体层的被暴露的部分上方沉积牺牲层,所述牺牲层包括第一铱掺杂的钽基层;
选择性地去除所述牺牲层的水平表面并且在所述下导体层中形成凹坑;
在所述层间电介质层中构图一或者更多的沟槽;
通过物理气相沉积,在层间电介质层、保留的所述牺牲层的垂直部分、以及对应于所述凹坑的所述下导体层的被暴露的部分上方沉积阻挡层,所述阻挡层包括第二铱掺杂的钽基层;
其中所述牺牲和阻挡层两者都以至少60%的原子重量的铱浓度沉积,以便具有所得的非晶结构。
11.根据权利要求10的方法,其中所述牺牲层包括非晶铱钽层和非晶铱钽氮化物层之一。
12.根据权利要求11的方法,其中所述阻挡层包括非晶铱钽氮化物层。
13.根据权利要求11的方法,还包括通过物理气相沉积在所述阻挡层上形成铜仔晶层。
CN2010101960734A 2009-06-03 2010-06-03 扩散阻挡及其形成方法 Pending CN101908501A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/477,389 US7951708B2 (en) 2009-06-03 2009-06-03 Copper interconnect structure with amorphous tantalum iridium diffusion barrier
US12/477,389 2009-06-03

Publications (1)

Publication Number Publication Date
CN101908501A true CN101908501A (zh) 2010-12-08

Family

ID=43263917

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010101960734A Pending CN101908501A (zh) 2009-06-03 2010-06-03 扩散阻挡及其形成方法

Country Status (4)

Country Link
US (1) US7951708B2 (zh)
JP (1) JP5444124B2 (zh)
KR (1) KR101581050B1 (zh)
CN (1) CN101908501A (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6013901B2 (ja) * 2012-12-20 2016-10-25 東京エレクトロン株式会社 Cu配線の形成方法
WO2016093799A1 (en) * 2014-12-09 2016-06-16 Intel Corporation Microelectronic substrates having copper alloy conductive route structures
US9875958B1 (en) 2016-11-09 2018-01-23 International Business Machines Corporation Trace/via hybrid structure and method of manufacture
KR102624631B1 (ko) 2016-12-02 2024-01-12 삼성전자주식회사 반도체 장치
US10211052B1 (en) * 2017-09-22 2019-02-19 Lam Research Corporation Systems and methods for fabrication of a redistribution layer to avoid etching of the layer
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
JP7368394B2 (ja) * 2018-06-30 2023-10-24 ラム リサーチ コーポレーション ライナの不動態化および接着性改善のための金属ライナのジンケート処理およびドーピング
JP2021144969A (ja) * 2020-03-10 2021-09-24 キオクシア株式会社 磁気記憶装置
US11107731B1 (en) 2020-03-30 2021-08-31 International Business Machines Corporation Self-aligned repaired top via

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5234775A (en) * 1988-11-11 1993-08-10 U.S. Philips Corporation Soft magnetic multilayer film and magnetic head provided with such a soft magnetic multilayer film
US6294836B1 (en) * 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
CN1722425A (zh) * 2004-05-28 2006-01-18 台湾积体电路制造股份有限公司 半导体结构
US20070117308A1 (en) * 2005-06-24 2007-05-24 Hu Yongjun J Semiconductor constructions, and methods of forming semiconductor constructions
CN101389784A (zh) * 2006-02-22 2009-03-18 日矿金属株式会社 含有高熔点金属的烧结体溅射靶

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8303298D0 (en) * 1983-02-07 1983-03-09 Gen Electric Co Plc Temperature sensors
NL8800857A (nl) * 1988-04-05 1989-11-01 Philips Nv Inrichting en werkwijze voor het vervaardigen van een inrichting.
DE69020864T2 (de) * 1989-02-28 1995-12-14 Canon Kk TINTENSTRAHLKOPF MIT WäRMEERZEUGENDEM WIDERSTAND AUS EINER NON-MONOKRISTALLINER SUBSTANZ ENTHALTEND IRIDIUM, TANTALUM UND ALUMINIUM SOWIE TINTENSTRAHLDRUCKVORRICHTUNG AUSGERüSTET MIT SOLCHEM KOPF.
JP2757797B2 (ja) * 1994-11-10 1998-05-25 日本電気株式会社 配線層形成方法およびその装置
US6181012B1 (en) 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6812143B2 (en) 2002-04-26 2004-11-02 International Business Machines Corporation Process of forming copper structures
US6787912B2 (en) 2002-04-26 2004-09-07 International Business Machines Corporation Barrier material for copper structures
EP1597408B1 (en) * 2003-02-27 2012-12-05 Symmorphix, Inc. Method for forming dielectric barrier layers
JP4832807B2 (ja) * 2004-06-10 2011-12-07 ルネサスエレクトロニクス株式会社 半導体装置
US20060251872A1 (en) * 2005-05-05 2006-11-09 Wang Jenn Y Conductive barrier layer, especially an alloy of ruthenium and tantalum and sputter deposition thereof
US7727888B2 (en) * 2005-08-31 2010-06-01 International Business Machines Corporation Interconnect structure and method for forming the same
JP5285898B2 (ja) * 2007-12-17 2013-09-11 Jx日鉱日石金属株式会社 銅拡散防止用バリア膜、同バリア膜の形成方法、ダマシン銅配線用シード層の形成方法及びダマシン銅配線を備えた半導体ウェハー

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5234775A (en) * 1988-11-11 1993-08-10 U.S. Philips Corporation Soft magnetic multilayer film and magnetic head provided with such a soft magnetic multilayer film
US6294836B1 (en) * 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
CN1722425A (zh) * 2004-05-28 2006-01-18 台湾积体电路制造股份有限公司 半导体结构
US20070117308A1 (en) * 2005-06-24 2007-05-24 Hu Yongjun J Semiconductor constructions, and methods of forming semiconductor constructions
CN101389784A (zh) * 2006-02-22 2009-03-18 日矿金属株式会社 含有高熔点金属的烧结体溅射靶

Also Published As

Publication number Publication date
JP2010283347A (ja) 2010-12-16
US20100311236A1 (en) 2010-12-09
US7951708B2 (en) 2011-05-31
KR20100130551A (ko) 2010-12-13
KR101581050B1 (ko) 2015-12-30
JP5444124B2 (ja) 2014-03-19

Similar Documents

Publication Publication Date Title
CN101908501A (zh) 扩散阻挡及其形成方法
US7994055B2 (en) Method of manufacturing semiconductor apparatus, and semiconductor apparatus
US7070687B2 (en) Apparatus and method of surface treatment for electrolytic and electroless plating of metals in integrated circuit manufacturing
US8008199B2 (en) Microstructure modification in copper interconnect structure
TWI502646B (zh) 鈷金屬障壁層
US5939788A (en) Copper diffusion barrier, aluminum wetting layer and improved methods for filling openings in silicon substrates with cooper
KR100711526B1 (ko) 구리 연결선을 갖는 반도체 장치의 제조방법
US7790617B2 (en) Formation of metal silicide layer over copper interconnect for reliability enhancement
US20060063375A1 (en) Integrated barrier and seed layer for copper interconnect technology
US7381643B2 (en) Wiring structure forming method and semiconductor device
TW201606934A (zh) 形成互連之方法
JP2002252281A (ja) 半導体装置およびその製造方法
WO2008084867A1 (ja) 半導体装置及びその製造方法
US6566248B1 (en) Graphoepitaxial conductor cores in integrated circuit interconnects
KR20040020782A (ko) 2-단계 도금으로 다마신 배선을 형성하는 방법
US20100081272A1 (en) Methods of Forming Electrical Interconnects Using Electroless Plating Techniques that Inhibit Void Formation
JPH11135504A (ja) 半導体装置の製造方法
JP4339152B2 (ja) 配線構造の形成方法
US7955971B2 (en) Hybrid metallic wire and methods of fabricating same
KR100701673B1 (ko) 반도체 소자의 구리 배선 형성방법
KR20070066426A (ko) 반도체 소자의 금속배선 형성방법
JPH11283979A (ja) 半導体装置の製造方法
CN115084004A (zh) 制造半导体结构的方法及半导体结构
TW533542B (en) Manufacturing method of damascene copper wire
KR20090113621A (ko) 증착 및 식각을 통한 반도체 소자의 금속배선 형성방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20101208