CN101156054A - 气体流速校验系统和方法 - Google Patents

气体流速校验系统和方法 Download PDF

Info

Publication number
CN101156054A
CN101156054A CNA2006800117767A CN200680011776A CN101156054A CN 101156054 A CN101156054 A CN 101156054A CN A2006800117767 A CNA2006800117767 A CN A2006800117767A CN 200680011776 A CN200680011776 A CN 200680011776A CN 101156054 A CN101156054 A CN 101156054A
Authority
CN
China
Prior art keywords
volume
gas flow
flow rate
pressure measurement
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006800117767A
Other languages
English (en)
Other versions
CN100516786C (zh
Inventor
V·王
R·J·迈内克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101156054A publication Critical patent/CN101156054A/zh
Application granted granted Critical
Publication of CN100516786C publication Critical patent/CN100516786C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F1/00Measuring the volume flow or mass flow of fluid or fluent solid material wherein the fluid passes through a meter in a continuous flow
    • G01F1/05Measuring the volume flow or mass flow of fluid or fluent solid material wherein the fluid passes through a meter in a continuous flow by using mechanical effects
    • G01F1/34Measuring the volume flow or mass flow of fluid or fluent solid material wherein the fluid passes through a meter in a continuous flow by using mechanical effects by measuring pressure or differential pressure
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F17/00Methods or apparatus for determining the capacity of containers or cavities, or the volume of solid bodies
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F22/00Methods or apparatus for measuring volume of fluids or fluent solid material, not otherwise provided for
    • G01F22/02Methods or apparatus for measuring volume of fluids or fluent solid material, not otherwise provided for involving measurement of pressure
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F25/00Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume
    • G01F25/10Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume of flowmeters
    • G01F25/15Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume of flowmeters specially adapted for gas meters
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F25/00Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume
    • G01F25/10Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume of flowmeters
    • G01F25/17Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume of flowmeters using calibrated reservoirs
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F7/00Volume-flow measuring devices with two or more measuring ranges; Compound meters
    • G01F7/005Volume-flow measuring devices with two or more measuring ranges; Compound meters by measuring pressure or differential pressure, created by the use of flow constriction

Landscapes

  • Physics & Mathematics (AREA)
  • Fluid Mechanics (AREA)
  • General Physics & Mathematics (AREA)
  • Measuring Volume Flow (AREA)

Abstract

本发明提供一种气体流速校验设备,它可以在多工具半导体处理平台中共用。此气体流速校验设备用来测量测试体积内的压力增长速率和温度,以确定相应的气体流速。此设备包括第一和第二体积,其中第二体积大于第一体积。此设备还包括第一和第二压力测量装置,其中第二压力测量装置能测量较高的压力。根据要测量的目标气体流速,可以选择第一或第二体积作为测试体积,并可选择第一或第二压力测量装置来测量测试体积内的压力。此设备的构造使它能够在较短时间内精确测量很宽范围的气体流速。

Description

气体流速校验系统和方法
发明背景
有些现代半导体晶片制造过程要求给反应室提供精心控制的处理气体,这种处理气体被用来支持或完成该半导体晶片的处理。举例来说,在等离子体刻蚀过程中,为刻蚀室提供一种处理气体,这种刻蚀气体被转化为刻蚀晶片表面材料的等离子体。在大多数情况下,半导体晶片制造过程要求所提供的处理气体是经过精心控制的。更具体地说,反应室处理气体的流速需要维持在由制造过程清单所规定的范围内。通常处理气体的流速由反应室上游的质量流控制器(MFC)来控制。因此,处理气体流速的控制精度一般由处理气体要通过的MFC的精度决定。
应该了解,MFC装置是一种复杂而灵敏的仪器,它具有取决于很多因素的现实气体流速控制精度。在MFC装置制造过程中,由MFC提供的气体流速控制应经过校验,确定它是处于规定的MFC设计指标误差以内。这种在制造过程中的MFC校验,一般是在使用N2气的受控实验室环境中进行的。因此,这种在制造过程中的MFC校验的环境条件不一定是MFC的实际工作条件。此外,将使用N2气的MFC校验结果转变为代表实际气体的相应校验结果,牵涉到一些转换因素。应该知道,这些转换因素具有固有的不确定性。还有,在MFC装置运到终端用户并在终端用户系统中安装之后,MFC装置的误差可能超出设计指标。再者,MFC装置的气体流速控制能力需要定期校验,以确保误差超出状况不会以校正漂移,零点漂移,或气体校正误差的形式出现,这些漂移或误差可能在MFC装置启动或运行的过程中产生。
考虑到以上情况,人们希望校验使用实际气体的现实环境中MFC装置的气体流速控制能力。然而,用来校验MFC装置的气体流速控制能力的终端用户设备,一般不满足MFC设计指标的严格误差要求。所以,需要改进在预定工作条件下与精确校验MFC装置的气体流速控制能力有关的工艺。
发明内容
应该指出,本发明可以按许多方式实施,如过程、设备、系统、装置或方法等。下面将对本发明的几个有创意的实施例加以说明。
在一个实施例中,介绍了一种气体流速校验设备。此设备包括被限定在第一室内的第一体积,和被限定在第二室内的第二体积。第二体积大于第一体积。该装置还包括第一压力测量装置和第二压力测量装置。第一和第二压力测量装置中的每一个在结构上做成与第一体积、第二体积中的任一个,或者第一和第二体积两者,通过流体联通相连接。第二压力测量装置能比第一压力测量装置测量更高的压力。该装置还能使第一体积,第二体积中的一个,或第一和第二体积两者,被选作测量气体流速的测试体积。此外,第一压力测量装置或第二压力测量装置中每一个,都可以被选择来测量该测试体积内的压力。
在另一个实施例中,介绍一种用于半导体处理的中央组合工具平台。该工具平台包括一些可以从中央位置进入的晶片处理模块。该工具平台还包括一些供气控制系统,其中每一个系统与晶片处理模块的相应一个相联系。该工具平台还包括一个气体流速校验装置,它处于相对于那些晶片处理模块的中央位置。这个气体流速校验装置通过流体连通选择性地与那些供气控制系统中的每一个相连接。此气体流速校验装置可测量由气体流速校验装置选择性地连接的供气控制系统提供的气体流速。
在另一个实施例中,介绍一种气体流速校验装置的操作方法。此方法包括鉴别目标气体流速范围。然后依据鉴别出的目标气体流速范围选择气体流速校验装置内的测试体积。被选择的测试体积为小体积或大体积。同时根据鉴别出的目标气体流速范围选择气体流速校验装置内的压力测量装置。被选择的压力测量装置为较低的压力测量装置或较高的压力测量装置。接着对被选择的测试体积抽气。方法的下一步是让该测试体积对要测量气体流速的气体敞开。然后测量该测试体积内的压力增长速率。另外,还要测量该测试体积内的温度。利用所测量的测试体积内的压力增长速率和温度来确定进入测试体积的流速。
从下面结合举例说明本发明的附图所作的详细描述中,我们将更清楚地了解本发明的其它形式和优点。
附图说明
图1A是根据本发明一个实施例的包括多个处理模块的中央组合工具平台的顶视图;
图1B是根据本发明一个实施例的处理模块的侧视图;
图2是根据本发明一个实施例的气体盒的简化示意图;
图3是根据本发明一个实施例的如图1A的工具平台示意图,其中安装了一个专用的压力增长速率气体流速测量装置;
图4是根据本发明一个实施例示意图,示出了安装在工具平台内的流速校验装置;
图5是根据本发明一个实施例的流速校验装置示意图;
图6是根据本发明一个实施例的流速校验装置操作方法流程图。
详细说明
在下面的说明中,为了全面了解本发明,提供了许多具体细节。不过本专业技术人员清楚,离开这些具体细节中的一些或全部也可以实现本发明。在另一些情况下,为了突出本发明的特点,对大家熟知的处理操作这里不作说明。
图1A是按照本发明一个实施例的中央组合工具平台(“工具平台”)100的顶视图,它包含多个处理模块103a-103d。此工具平台包含一个中央区101,由此可进入每个处理模块103a-103d的入口105a-105d。晶片传送机构107处于该中央区101,使得晶片可被传给每个处理模块103a-103d,或者从那儿往回传。在一个实施例中,传送机构107是一个机械手操作装置。虽然图1A的工具平台例显示有四个处理模块103a-103d,但在工具平台100的其它实施例中可包含更多或更少的处理模块。另外,如本专业技术人员所知,每个处理模块103a-103d可以进行一种或数种晶片处理操作。
图1B是根据本发明一个实施例的处理模块103a-103d的侧视图。每个处理模块103a-103d包含一个处理室111a-111d。每个处理室111a-111d的入口105a-105d用来将晶片传入和传出处理室111a-111d,同时处理室111a-111d在动作过程中可以密封。在一个实施例中,入口105a-105d是一个狭缝阀门。每个处理模块103a-103d还配备一个气体盒109a-109d,它们处在处理室111a-111d上面。气体盒109a-109d以适当的气体流速给处理室111a-111d提供需要的处理气体。每个处理模块103a-103d还包含一个用于其它设备的区域113a-113d,它处在处理室111a-111d下面。此其它设备包括处理室111a-111d运行所需的各种类型设备,如电源、电气设备、控制设备等。应知道,每个处理室103a-103d代表包含许多相关元件的很复杂的系统。为了突出本发明的特点,这里不对处理室111a-111d和其它设备113a-113d作详细说明。
图2是根据本发明一个实施例的气体盒109a-109d的简化示意图。如前所述,气体盒109a-109d用来控制适当的气体混合物以适当的气体流速进入处理室111a-111d。气体盒109a-109d包含一些气体杆201a-201p。在图2的实施例中,气体盒109a-109d包含16个气体杆201a-201p。但在不同的实施例中,可以采用不同数量的气体杆201a-201p。每个气体杆201a-201p可用来以受控制的流速为处理室111a-111d提供特定的气体或气体混合物。例如,图2显示被连接到每个气体杆201a-201p的输入,分别接收气体1至气体16。每个气体杆201a-201p的输出与公共管道217连接。管道217通过隔离阀门219接到处理室111a-111d。
每个气体杆201a-201p包括手动阀门203a-203p,气体调节器205a-205p,压力测量装置207a-207p,过滤器209a-209p,控制阀门211a-211p和215a-215p,及质量流控制器(MFC)213a-213p。应该指出,在不同的实施例中,每个气体杆201a-201p可以没有上述元件的某一些,或者还有附加的元件。在运行中各气体杆201a-201p受到控制,以按规定的流速为处理室111a-111d提供特定的气体。从每个气体杆201a-201p出来和以后进入处理室111a-111d的气体流速的精度决定于MFC 213a-213p的精度。因此,让每个MFC 213a-213p能把相应的气体流速控制在许可的误差范围内是很重要的。为了确保进入处理室111a-111d的气体流速合格,需要校验每个处理室111a-111d的校准状态。
在一个实施例中,可以使用压力增长速率方法(以下称为“RoR”方法)对每个MFC 213a-213p进行校正。在RoR方法中,是通过测量气体进入已知体积室内的压力增长速率和温度来确定气体流速。可利用下面的方程1来确定被测量的气体流速。
Figure A20068001177600101
式中C代表常数变换因子,RoR表示增长速率。
将测得的气体流速和MFC的气体流速设定值相比较,以确认MFC是工作在它的流速误差之内。通常每个气体杆201a-201p的MFC 213a-213p是分别校正的。此外,最好对每个MFC 213a-213p进行至少10点气体校正。这10点气体校正包括在MFC 213a-213p的工作范围内对10个等距气体流速设定值的校验,从最小气体流速开始,到最大气体流速结束。在图2的实施例中,对16个气体杆201a-201p中每一个的10个气体流速校正点要求进行160次气体校正测量。因此,在比较短的时间内完成每次气体校正测量是很重要的。
按惯例是利用处理室111a-111d来进行校正MFC 213a-213p的气体流速测量。在开始处理室111a-111d中气体流速测量之前,需把处理室111a-111d抽空。因此,由于处理室111a-111d的体积很大,为了测量气体流速,要花很长时间来抽空处理室111a-111d和观测处理室111a-111d内气体压力的充分增长。例如,利用处理室111a-111d进行单次气体流速测量可能要花费长达5分钟。因此,完成全部气体校验,比如对每个气体杆201a-201p做10点校正,可能要花很长时间,其间处理室111a-111d不能用来作晶片加工处理。所以,利用处理室111a-111d来进行气体校正测量可能严重影响系统的利用率。
除上面所说的以外,处理室111a-111d的大体积及其中的许多结构使得处理室111a-111d具有很大而不均匀的热质量。处理室111a-111d的这种热质量特性,对于在气体流速校正测量过程中在处理室111a-111d内获得并维持均匀温度分布很不利。通常与处理室111a-111d相关的温度反馈机构,一般不足以有效地控制处理室111a-111d内的温度。
为避免与处理室111a-111d相关的非均匀温度分布问题,可以利用在室温下的室进行气体流速测量。但是,为使处理室111a-111d从正常工作温度冷却至室温可能要相当长时间,例如半天或更长。因此,不得不让处理室111a-111d达到在室温下的热平衡,可能严重影响晶片加工的生产率。
总之,利用处理室111a-111d来进行气体流速校正测量不太理想,因为处理室111a-111d的大体积会在控制温度方面带来困难。此外,利用处理室111a-111d进行多点气体流速校正太费时间,且要求处理室111a-111d的停工时间太长。还有,诸如体积确定和温度控制等处理室111a-111d的特性,使得气体流速测量的精度不足以校验所要求的MFC 213a-213p性能指标。
为解决上述问题,本发明提供一种气体流速校验设备,它能为工具平台100内的多个气体盒109a-109d服务。图3是按本发明一个实施例中象图1A那样的工具平台100,但其中装备了小型气体流速校验设备300。为了讨论方便,在本说明书其余部分把本发明的气体流速校验设备300称为流速校验装置300。应注意,流速校验装置300是安装在工具平台100内用来提供精确而重复的气体流速测量,以校验每个处理模块103a-103d的每个气体盒109a-109d中的MFC 213a-213p校正情况。下面将会详细讨论到,流速校验装置300包括准确知道的室体积,压力传感装置和温度传感装置,后者是与每个处理模块103a-103d的处理室111a-111d分开的。
流速校验装置300处在工具系统100的中央。利用从每个气体盒109a-109d的输出管道217伸至流速校验装置300的单管线,将每个气体盒109a-109d连接到流速校验装置300。流速校验装置300可以被安装在工具系统100上的任何处理模块103a-103d使用。但是,在任何给定时间,只应有一个处理模块103a-103d使用流速校验装置300来进行气体流速测量。
图4是按照本发明一个实施例,安装在工具平台100内的流速校验装置300的示意图,如上面对图1B和2所讨论的,工具平台100包括气体盒109a-109d,其中每个气体盒109a-109d包括一组相应的气体杆201a-201p。每个气体杆201a-201p用来接收输入气体/气体混合物,并以相应于气体杆201a-201p的MFC 213a-213p设定的控制流速将气体/气体混合物提供给输出管道217。如上面对图2所作的讨论,每个气体盒109a-109d的输出管道217通到公用处理模块103a-103d内的处理室111a-111d。输出管道217和处理室111a-111d间的流体联通可通过隔离阀门219来控制。
处于中央的流速校验装置300被接到每个气体盒109a-109d的输出管道217。在一个实施例中,利用单个管线建立每个输出管道217和流速校验装置300间的流体联通。在处于输出管道217和流速校验装置300之间的各管线内,在靠近每个输出管道217处安装隔离阀门401a-401d。此隔离阀门401a-401d在处理室111a-111d工作过程中用来隔离输出管道217。另外,将隔离阀门401a-401d置于输出管道217附近,是为了限制在处理室111a-111d工作过程中输出管道217和处理室111a-111d的管线体积。在一个实施例中,每个从气体盒109a-109d进入流速校验装置300的管线还包括隔离阀403a-403d,它位于流速校验装置300的入口处。将隔离阀403a-403d置于流速校验装置300附近,是为了限制流速校验装置300和气体盒109a-109d(它们目前还没有到流速校验装置的入口)之间的管线体积。另外,流速校验装置300与泵405流体连通,后者提供流速校验装置300抽气和排气的真空源。
参看上面的方程1,用来确定由特定MFC 213a-213p提供的气体流速的体积,包括所有从特定MFC 213a-213p输出直至流速校验装置300的流体连接管线体积。因此,很好地界定和了解每个气体盒109a-109d和流速校验装置300之间,以及每个气体盒109a-109d和流速校验装置300内的管线是很重要的。流速校验装置300处于工具平台100的中央位置,同时知道每个气体盒109a-109d和流速校验装置300之间的管线体积,可以在使用流速校验装置300进行气体流速测量时准确地确定体积。另外,每个气体盒109a-109d和流速校验装置300之间及流速校验装置内的管线布局,应适合要测量的预期气体流速和要求的气体流速测量时间控制特性。
图5是按照本发明一个实施例的流速校验装置300示意图。流速校验装置300包括输入管道501,它与每个隔离阀门403a-403d的输出连接。输入管道501通过隔离阀门503和输入管线507与第一室511连接。输入管道501还通过隔离阀门505和输入管线509与第二室513连接。第一室511与输出管线515连接。第二室513与输出管线517连接。第一室511的输出管线515与放气阀门535连接,后者又和放气管线539连接。类似地,第二室513的输出管线517与放气阀门537连接,后者又与放气管线545连接。如上面对图4讨论过的,两个放气管线539和545与泵405连接。
流速校验装置300还包括连接在第一室511的输出管线515和第二室513的输出管线517之间的第一桥式管线519。此第一桥式管线519分别被阀门521和523与输出管线515和517分离。第一压力测量装置525与第一桥式管线519相连接。
与第一桥式管线519相似,流速校验装置300包括连接在第一室511的输出管线515和第二室513的输出管线517之间的第二桥式管线527。此第二桥式管线527分别被阀门519和531与输出管线515和517分离。第二压力测量装置533与第二桥式管线527相连接。
流速校验装置300还包括加热器541,它用来使第一室511和第二室513中的每一个维持较高的温度。另外,为了测量在第一室511和第二室513中的每一个内的温度,提供了一个或几个温度测量装置543。在一个实施例中,流速校验装置300与控制系统547相连接,该系统用来控制流速校验装置300内每个阀门的动作,控制加热器541,并从温度和压力测量装置543、525和533获取数据。
在第一室511和第二室513的内部分别是第一体积和第二体积。在一个实施例中,规定第二体积至少比第一体积大10倍。例如,在一个实施例中,小体积是在第一室511内约1升的体积,大体积是在第二室513内约10升的体积。应指出,在其它实施例中,第二体积与第一体积的比可以小于或大于10。但是,第二体积与第一体积的比应该这样来确定,使得在预定的气体流速工作范围和为气体流速测量确定的时间限度内,能精确测量气体流速。
在一个实施例中,规定第二压力测量装置533测量的压力至少比第一压力测量装置525可测量的最大压力大100倍。在一个实施例中,第一和第二压力测量装置525/533是第一和第二压力计,其中第一压力计可测量1乇以下的压力,第二压力计可测量100乇以下的压力。应指出,在其它一些实施例中,第二压力测量装置533能测量的最大压力可比第一压力测量装置525能测量的最大压力的100倍大或小。但是,第一和第二压力测量装置525/533最大的可测量压力应该这样来确定,使得在预定的气体流速工作范围和为气体流速测量确定的时间限度内,能准确测量气体流速。
在其它实施例中,在第一室511的输出管线515和第二室513的输出管线517之间可以连接两个以上的桥式管线,其中每个桥式管线各包含一个压力测量装置。应指出,在有多个桥式管线的实施例中,与桥式管线相关的压力测量装置,可以做到在整个压力范围和灵敏度方面具有更精确的压力测量能力。
在一个实施例中,第一室511和第二室513是用实心铝块机械加工而成。在此实施例中使用铝可使加热时室内的热均匀性更好。在此实施例中,第一室511和第二室513都被各自的盖和O圈密封。使用活动盖可让第一和第二室511/513更容易维护和清洗。另外,穿入第一和第二室511/513内的管线可以使用O圈密封而不用焊接。使用O圈密封引起的泄漏速率可以在利用流速校验装置300进行的气体流速测量中计及。在另一些实施例中,第一和第二室511/513可以不是铝,例如用不锈钢。此外,在另一些实施例中,可以采用不是O圈的密封机构。
两个室511/513和两个压力测量装置525/533可为流速校验装置300提供在很宽流速范围(例如0.5至5000sccm,此处sccm代表每分钟标准立方厘米)内精确而重复的测量流速的能力。更具体地说,流速校验装置300可以选择第一体积(即第一室511),或第二体积(即第二室513),或者第一和第二体积两者,作为测试体积,在该体积内进行气体流速测量。另外,流速校验装置300可以选择第一或第二压力测量装置525/533用来进行气体流速测量。应指出,在测量过程中使用的测试体积的选择和压力测量装置的选择,是通过安装在流速校验装置300内的各种隔离阀门503、505、521、523、529和531来实现的。
鉴于流速校验装置300的结构特性,气体流速测量的精确分辨能力是基于测试体积和压力测量装置的适当选择。适当选择用于给定气体流速测量的测试体积和压力测量装置,要根据预计要测量的气体流速和在该测试体积内预期的压力增长速率。在一个实施例中,为能选择适当的测试体积和压力测量装置,规定了4个气体流速范围:0.5至5sccm,5至50sccm,50至500sccm,和500至5000sccm。应注意,这4个气体流速范围中每一个的边缘值的误差在±10%以内。此外,当预期要测量的气体流速处在任意两个气体流速范围的重叠部分内时,两个重叠的气体流速范围中的任何一个可用来选择测试体积和压力测量装置。下面的表1是按照本发明一个实施例,根据预期的气体流速范围所选择的测试体积和压力测量装置。表1中测试体积的“小”和“大”分别是指第一室511和第二室513。而在表1中压力测量装置的“小”和“大”分别是指第一压力测量装置525和第二压力测量装置533。
表1测试体积和压力测量装置的选择
  预期的气体流速范围   测试体积   压力测量装置
  0.5sccm至5sccm   小   小
  5sccm至50sccm   大   小
  50sccm至500sccm   小   大
  500sccm至5000sccm   大   大
在利用流速校验装置300测量气体流速的过程中,利用定时器和选定的压力测量装置来测量测试体积内的压力增长速率。另外,还要测量测试体积内的温度。然后,利用上面的方程1确定被测量的气体流速。方程1中所用的体积应为从被校验的MFC输出端起的下游的流体联通的全部体积。一旦用方程1确定了气体流速,则可从所测得的气体流速中减去流速校验装置300测得的泄漏速率(如果有的话),而确定经过修正的气体流速。
在一个实施例中,可通过测量被抽空的室内由于从流速校验装置300测试体积的气体泄漏的压力增长速率,来确定流速校验装置300的泄漏速率。然后利用上面的方程1确定所测量的泄漏速率。在确定流速校验装置300的泄漏速率时方程1所用的体积,应为气体从流速校验装置300的测试体积泄漏到被抽空室的体积。
可以把由流速校验装置300测得的气体流速,与被测试的MFC校准曲线上的相应气体设定点相比较,以确定该MFC是否工作在它规定的气体流速误差之内。若该MFC不是工作在它规定的误差之内,则可通过估算确定是否可以采用合适的等效流速调整因子(即使用补偿因子),或者该MFC是否需要更换。
在流速校验装置300工作过程中,利用加热器541来维持第一和第二室511/513内较高(即高于外界的)而均匀的温度。温度较高使得对在较低温度下冷凝的气体的流速测量成为可能。在MFC出口下游的气体冷凝可能引起气体流速测量的误差,因为被冷凝气体占据的体积不包含在上面方程1的自由体积参数中。另外,冷凝气体可能对利用压力测量装置525/533进行压力测量产生不利的影响。此外,通到第一和第二室511/513中每一个的气体入口可以设计成让气体流放慢,并在气体流和气体入口被加热的壁之间提供很大的接触表面积。因此,可以把气体入口设计成在气体进入测试体积之前将它预热,以避免气体进入测试体积时冷凝。
该可做成一定形状的流速校验装置300的测试结构,在气体流速测量(尤其是在如表1所标示的每个气体流速范围的低端)过程中使用较大的压差。该可做成一定形状的流速校验装置300的测试结构,还可使完成气体流速测量所需的时间最少,尤其是在如表1所标示的每个气体流速范围的高端。在一个实施例中,流速校验装置300可以在约5至60秒的时间内完成对如表1所标示的每个气体流速范围的精确气体流速测量,同时利用被选定的压力测量装置至少40%的压力范围。
如上所述,可以把流速校验装置300与控制系统547相连接。利用数字和模拟控制装置的组合,控制系统547可以按照用户给定的输入控制流速校验装置300的运行。另外,控制系统547还能获取与流速校验装置300有关的数据(如压力,温度,阀门的状态),作为分析之用并提供给用户。在一个实施例中,将控制流速校验装置300的图形用户接口(GUI)提供在与工具平台100相关的计算机系统显示器上。此GUI为用户提供一些构建流速校验装置300的选择。在一个实施例中,此GUI可根据要进行的特定气体流速校正测试,提供自动构建流速校验装置300的选择。例如,通过GUI提供的用户界面,用户可以指明在特定处理模块的气体盒内一个或几个气体杆上进行多点气体校正。此外,可为用户提供几种选择,规定对每个气体杆要测试的最大和最小流速。应该指出,与流速校验装置300或其运行有关的任何其它结构参数,都可以作为GUI内的可由用户构建的项目提出。
与工具平台100相关的计算机系统,还可用来进行与流速校验装置300所作的每次气体流速测量有关的数学计算。举例来说,该计算机系统可以利用从流速校验装置300获得的数据来计算气体流速,计算泄漏速率,计算修正过后的气体流速,并将修正过后的气体流速与MFC的校正记录相比较。此外,该计算机系统可对气体流速测量就非理想气体性质等其它影响进行修正,这种性质与压力,温度和具体气体的特性有关。该计算机系统和GUI可用来把气体流速校正结果归档。对已归档的气体流速校正加以分析,可以鉴别与时间有关的趋势或与处理模块有关的趋势。
图6是按照本发明一个实施例操作流速校验装置300的方法的流程图。此方法包括鉴别要测量的目标气体流速范围的操作601。接着进行操作603,选择小体积或大体积用作测量气体流速的测试体积。还要进行操作605,选择较低的压力测量装置或较高的压力测量装置用在气体流速测量过程中。在操作603和605中,测试体积和压力测量装置的选择是根据要测量的目标气体流速范围确定的。在一个实施例中,可以利用上面讨论过的表1设定的指南,来选择测试中所用的测试体积和压力测量装置。
该方法还包括抽空测试体积的操作607。在下一个操作609中,测试体积对要测量气体流速的气体敞开。然后进行操作611,测量测试体积内的压力增长速率。在一个实施例中,操作611中的压力增长速率测量在5至60秒时间内完成。此外,进行操作613以测量测试体积内的温度。在一个实施例中,测试体积和周围结构的温度保持高于测试体积为之敞开的气体的冷凝温度。一旦完成操作611和613,则进行操作615,利用测试体积内测得的压力增长速率和温度确定进入测试体积内的气体流速,如前面方程1所示。
在一个实施例中,这种方法还包括以下操作:将测试体积与要测量的气体流速隔离,并测量与测试体积相关的气体泄漏速率。然后,对操作615中确定的气体流速加以修正,以考虑所测得的气体泄漏速率。
由于已准确知道两个流速校验装置300的体积(511/513),所以可以利用流速校验装置300进行每个压力测量装置525/533的校正自检。例如,可以在对小体积511排气的同时,将较大的体积513加压到给定的压力。然后,打开大小体积间的隔离,使得大小体积间的压力达到平衡。在这个过程中,可以利用压力测量装置525/533相互校验,以确定它们是否仍然处于适当校准状态。
另外,因为流速校验装置300的体积(511/513)相对于气体盒109a-109d和流速校验装置300之间的外部互联管线体积而言很大,故可利用流速校验装置300来校验外部互联管线体积。例如,在对外部体积排气的同时,可以将流速校验装置300体积(511/513)中的一个或两个加压至给定的压力。然后,撤去被加压的流速校验装置300的体积和外部体积之间的隔离,使压力达到平衡。因为被加压的流速校验装置300的体积已知,而且起始和最终压力已知,故可通过P1V1=P2V2确定外部体积。
使用两个室体积和两个压力测量装置,可使流速校验装置300在很大的气体流速范围(如0.5至5000sccm)内精确而重复地校验气体流速。流速校验装置300的两个室体积是这样确定的,使得在整个气体流速范围内每个气体流速校验点可以在5至60秒时间内测量完。另外,作为工具平台100内的一个公用装置,流速校验装置300的尺寸不很严格。因此,流速校验装置300设计时不必考虑流速校验装置300的尺寸限制,而是追求气体流速测量的最大灵活性,因而可以使单个流速校验装置适用于整个气体流速范围内气体流速的测量。此外,可以把流速校验装置300设计成可清洁和洗涤的。当测量有毒、腐蚀性、或冷凝气体的气体流速时,流速校验装置300的可清洁性特别有用。
除了流速校验装置300的上述特性外,它还能测量压力响应,以表征MFC的瞬态流动效应。更具体地说,对于给定的气体流,可以这样来选择压力测量装置和测试体积,以提供关于相对于MFC气体流设定值的瞬时MFC接通过冲量和下冲量的信息。另外,在通过给定体积内的压力变化监测瞬态效应的同时,气体可以按照扫气模式通过流速校验装置300。可以把这种压力变化与质量流联系起来,以鉴别各MFC内的差别。这类瞬态信息对于要求同时知道稳态流动控制和瞬态流动控制的最新半导体工艺过程控制,正变得越来越重要。
虽然本发明是通过几个实施例来说明的,但本专业技术人员阅读上面的说明并研究各附图后会明白,可以有各种各样的更改、添加、替换和等同物。因此,我们认定本发明涵盖所有这些更改、添加、替换和等效物,因为它们都属于本发明的真实思想和范围之内。

Claims (20)

1.一种气体流速校验设备,包括:
限定在第一室内的第一体积;
限定在第二室内的第二体积,第二体积大于第一体积;
第一压力测量装置,它在结构上与第一体积或第二体积,或者第一和第二体积两者,通过流体联通连接;
第二压力测量装置,它在结构上与第一体积或第二体积,或者第一和第二体积两者,通过流体联通连接,第二压力测量装置能比第一压力测量装置测量更高的压力;
其中第一体积和第二体积中的每一个,或第一和第二体积两者,可选为测量气体流速的测试体积;
其中第一压力测量装置或第二压力测量装置的每一个,可选择来测量测试体积内的压力。
2.如权利要求1的设备,其中第一体积和第二体积的每一个,通过流体联通与要测量气体流速的气体源连接,第一和第二体积中的每一个与该气体源单独隔离。
3.如权利要求1的设备,还包括:
加热器,用来维持第一体积和第二体积内的较高温度;及
温度测量装置,它能测量第一体积和第二体积内的温度。
4.如权利要求1的设备,还包括:
用来从许多气体源中的每一个接收气体供应的输入管道,此输入管道还将接收到的气体供应引向选定的测试体积。
5.如权利要求1的设备,还包括:
用来连接第一体积和第二体积的第一桥式管线,此第一桥式管线包括第一阀门和第二阀门,其中第一压力测量装置处在该第一阀门和第二阀门之间;以及
用来连接第一体积和第二体积的第二桥式管线,此第二桥式管线包括第三阀门和第四阀门,其中第二压力测量装置处在第三阀门和第四阀门之间。
6.如权利要求1的设备,还包括:
将第一体积与泵连接的第一放气管线,此第一放气管线包括将第一体积与泵隔离的第一放气阀门;和
将第一体积与泵连接的第二放气管线,此第二放气管线包括将第二体积与泵隔离的第二放气阀门。
7.如权利要求1的设备,其中第二体积至少比第一体积大10倍,且第二压力测量装置能测量的压力至少比第一压力测量装置大100倍。
8.如权利要求1的设备,还包括:
控制系统,用来使气体流速测量设备的压力增长速率结构构成气体流速测量的测试结构,此测试结构由选定的测试体积和选定的压力测量装置界定;其中
范围在0.5标准立方厘米每分钟(sccm)至5sccm左右的气体流速测试结构由第一体积和第一压力测量装置界定;
范围在5sccm至50sccm左右的气体流速测试结构由第二体积和第一压力测量装置界定;
范围在50sccm至500sccm左右的气体流速测试结构由第一体积和第二压力测量装置界定;
范围在500sccm至5000sccm左右的气体流速测试结构由第二体积和第二压力测量装置界定。
9.一种用于半导体处理的中央组合工具平台,包括:
若干可从中央位置进入的晶片处理模块;
若干气体供应控制系统,其中所述若干晶片处理模块的每一个与相应的所述若干气体供应控制系统中的一个相关联;及
相对于所述若干晶片处理模块处于中央位置的气体流速校验装置,此气体流速校验装置通过流体联通选择性地与所述若干气体供应控制系统的每一个连接,其中该气体流速校验装置用来测量由与它选择性地连接的气体供应控制系统提供的气体流速。
10.如权利要求9所述的用于半导体处理的中央组合工具平台,还包括:
计算机系统,用来管理从气体流速校验装置获取数据和控制气体流速校验装置,此计算机系统还用来提供为监测和控制气体流速校验装置的图形用户界面。
11.如权利要求9所述的用于半导体处理的中央组合工具平台,其中气体流速校验装置用来在测量气体流速时对泄漏速率作修正。
12.如权利要求9所述的用于半导体处理的中央组合工具平台,其中气体流速校验装置用来测量范围在0.5至5000标准立方厘米每分钟的气体流速。
13.如权利要求9所述的用于半导体处理的中央组合工具平台,其中气体流速校验装置包括:
限定在第一室内的第一体积;
限定在第二室内的第二体积,第二体积大于第一体积;
第一桥式管线,用来连接第一体积和第二体积,此第一桥式管线包括第一阀门和第二阀门;
位于第一阀门和第二阀门之间的第一压力测量装置;
第二桥式管线,用来连接第一体积和第二体积,此第二桥式管线包括第三阀门和第四阀门;
位于第三阀门和第四阀门之间的第二压力测量装置,此第二压力测量装置能比第一压力测量装置测量更高的压力;
其中第一体积或第二体积,或第一和第二体积两者,可选作测量气体流速的测试体积;
其中第一压力测量装置或第二压力测量装置可选择来测量该测试体积内的压力。
14.如权利要求13所述的用于半导体处理的中央组合工具平台,其中第二体积至少比第一体积大10倍。
15.如权利要求13所述的用于半导体处理的中央组合工具平台,其中第二压力测量装置能测量的压力至少比第一压力测量装置大100倍。
16.一种操作气体流速校验装置的方法,包括:
鉴别目标气体流速范围;
选择气体流速校验装置内的测试体积,其中被选定的体积为小体积或大体积,测试体积的选择是根据所鉴别的目标气体流速范围确定的;
选择气体流速校验装置内的压力测量装置,其中所选择的压力测量装置为较低的压力测量装置或较高的压力测量装置,所选择的压力测量装置是根据所鉴别的目标气体流速范围确定的;
对测试体积抽气;
将测试体积向要测量气体流速的气体敞开;
测量测试体积内的压力增长速率;
测量测试体积内的温度;及
利用所测得的测试体积内的压力增长速率和温度,确定进入测试体积的气体流速。
17.如权利要求16的方法,还包括:
维持测试体积和限定该测试体积的结构的温度高于该测试体积为之敞开的气体的冷凝温度。
18.如权利要求16的方法,其中测量测试体积内的压力增长速率在大约5至60秒时间内完成。
19.如权利要求16的方法,还包括:
确定从测试体积的泄漏流速;及
利用该泄漏流速修正进入测试体积内的气体流速。
20.如权利要求16的方法,其中所鉴别的目标气体流速范围在大约0.5标准立方厘米每分钟(sccm)至5sccm时,选择小体积和较低的压力测量装置,所鉴别的目标气体流速范围在大约5sccm至50sccm时,选择大体积和较低的压力测量装置,所鉴别的目标气体流速范围在大约50sccm至500sccm时,选择小体积和较高的压力测量装置,所鉴别的目标气体流速范围在大约500sccm至5000sccm时,选择大体积和较高的压力测量装置。
CNB2006800117767A 2005-03-16 2006-03-15 气体流速校验系统和方法 Active CN100516786C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/083,761 US7376520B2 (en) 2005-03-16 2005-03-16 System and method for gas flow verification
US11/083,761 2005-03-16

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN2009101472206A Division CN101672669B (zh) 2005-03-16 2006-03-15 气体流速校验系统和方法

Publications (2)

Publication Number Publication Date
CN101156054A true CN101156054A (zh) 2008-04-02
CN100516786C CN100516786C (zh) 2009-07-22

Family

ID=36992066

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB2006800117767A Active CN100516786C (zh) 2005-03-16 2006-03-15 气体流速校验系统和方法
CN2009101472206A Active CN101672669B (zh) 2005-03-16 2006-03-15 气体流速校验系统和方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2009101472206A Active CN101672669B (zh) 2005-03-16 2006-03-15 气体流速校验系统和方法

Country Status (5)

Country Link
US (2) US7376520B2 (zh)
KR (1) KR101312986B1 (zh)
CN (2) CN100516786C (zh)
TW (1) TWI296325B (zh)
WO (1) WO2006099576A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109579922A (zh) * 2019-02-01 2019-04-05 飞思仪表(深圳)有限公司 一种插入式差压流量计和流量测量方法
CN110319901A (zh) * 2019-07-02 2019-10-11 东莞市美迪格电子科技有限公司 一种测量雾化器气体体积的装置及其方法
CN114207767A (zh) * 2019-06-07 2022-03-18 朗姆研究公司 多站半导体处理中的可独立调整流路传导性

Families Citing this family (332)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7461549B1 (en) * 2007-06-27 2008-12-09 Mks Instruments, Inc. Mass flow verifiers capable of providing different volumes, and related methods
US7757554B2 (en) * 2005-03-25 2010-07-20 Mks Instruments, Inc. High accuracy mass flow verifier with multiple inlets
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
KR101233632B1 (ko) * 2006-03-07 2013-02-15 씨케이디 가부시키 가이샤 가스유량 검정유닛
US7637143B2 (en) * 2006-11-10 2009-12-29 Tokyo Electron Limited Substrate processing apparatus and analysis method therefor
US7881886B1 (en) 2006-11-17 2011-02-01 Lam Research Corporation Methods for performing transient flow prediction and verification using discharge coefficients
US7651263B2 (en) * 2007-03-01 2010-01-26 Advanced Energy Industries, Inc. Method and apparatus for measuring the temperature of a gas in a mass flow controller
US8322380B2 (en) * 2007-10-12 2012-12-04 Lam Research Corporation Universal fluid flow adaptor
EP2223332A4 (en) * 2007-12-06 2012-07-11 Foresight Proc Llc SYSTEMS AND METHODS FOR DELIVERING COMBINATIONS OF TREATMENT MATERIAL CONTAINING FLUID
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011085064A2 (en) * 2010-01-08 2011-07-14 Applied Materials, Inc. N-channel flow ratio controller calibration
US8707754B2 (en) * 2010-04-30 2014-04-29 Applied Materials, Inc. Methods and apparatus for calibrating flow controllers in substrate processing systems
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
EP2608122A1 (en) * 2011-12-22 2013-06-26 Philip Morris Products S.A. Systems and methods for quantifying the impact of biological perturbations
TWI489054B (zh) * 2011-06-21 2015-06-21 Au Optronics Corp 閥箱模組
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5430621B2 (ja) * 2011-08-10 2014-03-05 Ckd株式会社 ガス流量検定システム及びガス流量検定ユニット
JP5433660B2 (ja) * 2011-10-12 2014-03-05 Ckd株式会社 ガス流量監視システム
JP5809012B2 (ja) * 2011-10-14 2015-11-10 株式会社堀場エステック 流量制御装置、流量測定機構、又は、当該流量測定機構を備えた流量制御装置に用いられる診断装置及び診断用プログラム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10002747B2 (en) 2012-03-27 2018-06-19 Lam Research Corporation Methods and apparatus for supplying process gas in a plasma processing system
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9334069B1 (en) * 2012-10-23 2016-05-10 The Boeing Company Propellant gauging at microgravity within the pressure—temperature—density inflection zone of xenon
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9778083B2 (en) 2013-05-16 2017-10-03 Lam Research Corporation Metrology method for transient gas flow
US9370839B2 (en) * 2013-09-25 2016-06-21 Lincoln Global, Inc. Apparatus and method for brazing
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN103759792B (zh) * 2013-12-24 2017-01-25 兰州空间技术物理研究所 微流量热式质量流量控制器的校准装置及其校准方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN103900665B (zh) * 2014-03-25 2016-08-31 重庆市计量质量检测研究院 容器组合及换向阀式pVTt法气体流量装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6047540B2 (ja) * 2014-11-05 2016-12-21 Ckd株式会社 流量検定ユニット
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9546943B1 (en) * 2015-03-21 2017-01-17 J.A. Woollam Co., Inc System and method for investigating change in optical properties of a porous effective substrate surface as a function of a sequence of solvent partial pressures at atmospheric pressure
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN107036665B (zh) * 2016-11-23 2019-05-07 中国航空动力机械研究所 一种测量火焰筒进气孔流量系数的试验装置及方法
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6913498B2 (ja) * 2017-04-18 2021-08-04 東京エレクトロン株式会社 流量制御器の出力流量を求める方法及び被処理体を処理する方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
DE102017121426A1 (de) * 2017-09-15 2019-03-21 Marco Systemanalyse Und Entwicklung Gmbh Verfahren zur Füllstandsermittlung
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR20200092375A (ko) 2017-12-01 2020-08-03 엠케이에스 인스트루먼츠 인코포레이티드 라디칼 가스 및 단기 분자를 위한 다중 센서 가스 샘플링 검출 시스템 및 사용 방법
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6956014B2 (ja) * 2018-01-09 2021-10-27 東京エレクトロン株式会社 ガスの流量を求める方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
CN108871511B (zh) * 2018-06-29 2020-06-09 南京罕华流体技术有限公司 一种联动型工业流量精确计量方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
JP7243648B2 (ja) * 2020-01-24 2023-03-22 トヨタ自動車株式会社 内燃機関制御システム
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111896069B (zh) * 2020-06-19 2021-04-09 中国水利水电科学研究院 测量堆石材料吸水量的试验装置及方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4102175A (en) * 1976-10-15 1978-07-25 Electric Power Research Institute, Inc. Response time verification of in situ hydraulic pressure sensors in a nuclear reactor
US4169374A (en) * 1978-03-17 1979-10-02 Battelle Development Corporation Apparatus for continuously measuring the flow rate of each of the components of a composite fluid flow formed of a liquid and of a gas
US5832409A (en) * 1995-03-02 1998-11-03 Schlumberger Technology Corporation Automated gas permeameter
JPH10159661A (ja) * 1996-11-28 1998-06-16 Mazda Motor Corp 通路の連通遮断異常検出装置
JP3440844B2 (ja) * 1998-10-07 2003-08-25 三菱電機株式会社 二相流熱流動解析方法およびその装置
US6277199B1 (en) * 1999-01-19 2001-08-21 Applied Materials, Inc. Chamber design for modular manufacturing and flexible onsite servicing
US6119710A (en) * 1999-05-26 2000-09-19 Cyber Instrument Technologies Llc Method for wide range gas flow system with real time flow measurement and correction
US6439253B1 (en) * 2000-12-28 2002-08-27 International Business Machines Corporation System for and method of monitoring the flow of semiconductor process gases from a gas delivery system
US7001481B2 (en) * 2001-11-30 2006-02-21 Micron Technology, Inc. Method and system providing high flux of point of use activated reactive species for semiconductor processing
US7335277B2 (en) * 2003-09-08 2008-02-26 Hitachi High-Technologies Corporation Vacuum processing apparatus
IL157887A (en) * 2003-09-11 2006-08-01 Ormat Ind Ltd System and method for increasing gas pressure flowing in a pipeline
US7174263B2 (en) * 2005-03-25 2007-02-06 Mks Instruments, Inc. External volume insensitive flow verification

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109579922A (zh) * 2019-02-01 2019-04-05 飞思仪表(深圳)有限公司 一种插入式差压流量计和流量测量方法
CN114207767A (zh) * 2019-06-07 2022-03-18 朗姆研究公司 多站半导体处理中的可独立调整流路传导性
CN114207767B (zh) * 2019-06-07 2024-01-30 朗姆研究公司 多站半导体处理中的可独立调整流路传导性
CN110319901A (zh) * 2019-07-02 2019-10-11 东莞市美迪格电子科技有限公司 一种测量雾化器气体体积的装置及其方法

Also Published As

Publication number Publication date
WO2006099576A1 (en) 2006-09-21
CN101672669B (zh) 2013-10-30
KR20070112859A (ko) 2007-11-27
TWI296325B (en) 2008-05-01
CN101672669A (zh) 2010-03-17
US20080195332A1 (en) 2008-08-14
US7376520B2 (en) 2008-05-20
US7835874B2 (en) 2010-11-16
CN100516786C (zh) 2009-07-22
US20060212233A1 (en) 2006-09-21
TW200641356A (en) 2006-12-01
KR101312986B1 (ko) 2013-10-01

Similar Documents

Publication Publication Date Title
CN100516786C (zh) 气体流速校验系统和方法
KR102290814B1 (ko) 질량 유량 제어기 검증을 위한 시스템들 및 방법들
US7461549B1 (en) Mass flow verifiers capable of providing different volumes, and related methods
US8240324B2 (en) Method and apparatus for in situ testing of gas flow controllers
US7204155B2 (en) Method and apparatus for pressure control and flow measurement
US20060011237A1 (en) Method and system for flow measurement and validation of a mass flow controller
US7137400B2 (en) Bypass loop gas flow calibration
US20020083984A1 (en) System for and method of monitoring the flow of semiconductor process gases from a gas delivery system
CN101536159A (zh) 进行实际流量检验的方法
US8037894B1 (en) Maintaining flow rate of a fluid
KR102187959B1 (ko) 과도 가스 흐름의 계측 방법
US20020157448A1 (en) Flowmeter calibration apparatus
US20020180449A1 (en) Method and apparatus for manufacturing semiconductor device, method and apparatus for controlling the same, and method and apparatus for simulating manufacturing process of semiconductor device
CN101556460A (zh) 一种工艺控制方法及控制系统
US9038650B2 (en) Calibration of a chemical dispense system
CN111563332B (zh) 基于夜间调压实测的城镇供水管网漏失定位方法
JP2013187323A (ja) 評価装置及びコンピュータプログラム
Walker et al. The Delta Q method of testing the air leakage of ducts
CN111855113A (zh) 退火机台、漏率检测装置及检测方法
Levine et al. A primary standard high vacuum calibration station for industrial applications
Smith Real-time control of polysilicon deposition in single-wafer rapid thermal chemical vapor deposition furnaces
CN117810130A (zh) 测量气体流量的方法和校准流量控制器的方法
Wright Uncertainty of the critical venturi transfer standard used in the K6 gas flow key comparison
Walker et al. Environmental Energy Technologies Division
Sensor Redesigned Gas Mass Flow Sensors for Space Shuttle Pressure Control System and Fuel Cell System

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant