CN101050522B - 形成四方氧化锆层的方法及制造具有该层的电容器的方法 - Google Patents

形成四方氧化锆层的方法及制造具有该层的电容器的方法 Download PDF

Info

Publication number
CN101050522B
CN101050522B CN2006101610397A CN200610161039A CN101050522B CN 101050522 B CN101050522 B CN 101050522B CN 2006101610397 A CN2006101610397 A CN 2006101610397A CN 200610161039 A CN200610161039 A CN 200610161039A CN 101050522 B CN101050522 B CN 101050522B
Authority
CN
China
Prior art keywords
supply
reactant gases
layer
zro
zirconium source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2006101610397A
Other languages
English (en)
Other versions
CN101050522A (zh
Inventor
吉德信
宋翰相
廉胜振
朴基善
卢载盛
金珍赫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of CN101050522A publication Critical patent/CN101050522A/zh
Application granted granted Critical
Publication of CN101050522B publication Critical patent/CN101050522B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02TCLIMATE CHANGE MITIGATION TECHNOLOGIES RELATED TO TRANSPORTATION
    • Y02T50/00Aeronautics or air transport
    • Y02T50/60Efficient propulsion technologies, e.g. for aircraft

Abstract

一种在腔室中在衬底上形成氧化锆(ZrO2)层的方法,包括控制衬底的温度和重复原子层沉积(ALD)法的单元循环。单元循环包括:将锆(Zr)源供应到腔室中,使部分锆源吸附到衬底表面中;清洗残留在腔室内部的未吸附部分的锆源;供应反应气体,用于与吸附部分的锆源反应;清洗残留在腔室内部的未反应部分的反应气体和反应副产物,其中控制衬底的温度和反应气体的浓度,使得形成具有四方结构的ZrO2层。

Description

形成四方氧化锆层的方法及制造具有该层的电容器的方法
相关申请
本发明基于并要求韩国专利申请No.KR 2006-0030665的优先权,该专利申请于2006年4月4日提交韩国专利局,其全部内容通过引用并入本文。
技术领域
本发明涉及制造半导体器件的方法,更具体涉及形成氧化锆(ZrO2)层的方法以及制造具有该氧化锆层的电容器的方法。
背景技术
近年来,人们已经尝试将氧化锆(ZrO2)层用作尺寸小于约60nm的动态随机读取存储(DRAM)器件的电容器介电层。但是,如果通过原子层沉积(ALD)法在低于约250℃的温度下形成ZrO2层并随后经历热处理,则通常获得具有低介电常数的单斜结构或立方结构的ZrO2层。
ZrO2层需要形成为四方结构而不是单斜结构或立方结构,以便确保高介电常数。但是,由于四方结构是在高温下比单斜结构或立方结构更加稳定的结构,因此很难获得具有四方结构的ZrO2层。
发明内容
根据本发明的方法提供形成具有四方结构的氧化锆(ZrO2)层,其具有高介电常数并且在高温下稳定。根据本发明的方法还提供制造具有这种ZrO2层的电容器。
根据本发明,提供一种用于在腔室中在衬底上形成氧化锆(ZrO2)层的方法。该方法包括控制衬底的温度和重复原子层沉积(ALD)法的单元循环。所述单元循环包括:将锆(Zr)源供应到腔室中,使部分锆源吸附到衬底表面中;清洗残留在腔室内部的未吸附部分的锆源;供应反应气体,用于与吸附部分的锆源反应;清洗残留在腔室内部的未反应部分的反应气体和反应副产物,其中控制衬底的温度和反应气体的浓度,使得形成具有四方结构的ZrO2层。
根据本发明,提供一种用于在腔室中在衬底上形成ZrO2层的方法。该方法包括重复原子层沉积(ALD)法的单元循环。所述单元循环包括第一和第二部分。第一部分包括:将锆(Zr)源供应到腔室中,使部分锆源吸附到衬底表面中;清洗残留在腔室内部的未吸附部分的锆源;供应第一反应气体,用于与吸附部分的锆源反应;以及清洗残留在腔室内部的未反应部分的第一反应气体和反应副产物。第二部分包括:供应第二反应气体,用于与吸附部分的锆源反应;和清洗残留在腔室内部的未反应部分的第二反应气体和反应副产物。
根据本发明,提供一种制造电容器的方法。该方法包括:在衬底上形成底电极;通过原子层沉积(ALD)法在底电极上形成具有四方结构的氧化锆(ZrO2)层;实施第一退火过程以改善ZrO2层的四方性;在ZrO2层上形成顶电极;和实施第二退火过程以改善ZrO2层的介电性能。
应该理解的是,前文中的一般描述和下文中的详细描述均是示例性和解释性的,它们的目的是提供对所要求保护的本发明的进一步说明。
附图说明
参考以下结合附图给出的优选实施方案的说明,将更好地理解上述和其它特征,其中:
图1是说明根据本发明第一实施方案利用原子层沉积(ALD)法形成氧化锆(ZrO2)层的供气顺序的图;
图2是说明根据本发明第二实施方案利用原子层沉积(ALD)法形成氧化锆(ZrO2)层的供气顺序的图;
图3是说明ZrO2层厚度随衬底温度改变的图;
图4是说明ZrO2层结晶随衬底温度改变的图;
图5是通过本发明第二实施方案的方法沉积的ZrO2层的结晶与通过本发明第一实施方案的方法沉积的ZrO2层的结晶的对比图;
图6A是说明具有使用根据本发明第三实施方案ZrO2层作为介电层的金属-绝缘体-金属(MIM)结构的电容器的图;
图6B是说明用于制造图6A中所示电容器的方法的流程图;
图7是说明具有使用ZrO2层的MIM结构的电容器介电性能变化的图,所述ZrO2层通过在原子层沉积(ALD)过程中控制衬底温度而沉积;和
图8是通过根据本发明第二实施方案的方法沉积的ZrO2层的能带隙(Eg)与通过本发明第一实施方案的方法沉积的ZrO2层的能带隙(Eg)的对比图。
具体实施方式
下文中,将参考附图详细描述本发明的实施方案。
图1是说明根据本发明第一实施方案利用原子层沉积(ALD)法形成氧化锆(ZrO2)层的供气顺序的图。
根据本发明第一实施方案,通过原子层沉积(ALD)法,重复用以形成ZrO2原子层的单元循环来沉积ZrO2层,单元循环包括供应锆(Zr)源、清洗、供应反应气体和清洗。重复单元循环直到获得具有所需厚度的薄膜。即,对于沉积ZrO2层的单元循环来说,供应Zr源并随后使Zr源吸附到衬底表面上。将残留在腔室中的未吸附部分的清洗出Zr源腔室。将反应气体供应到腔室中并与吸附部分的Zr源反应。通过反应气体和吸附部分的Zr源的上述反应,沉积所需的ZrO2层。此后,再次流过清洗气体,因而清洗掉残留在腔室中的未反应部分的反应气体。
例如,通过ALD法实施以[Zr/清洗/O3/清洗]所表示的沉积ZrO2层的单元循环,即包括供应Zr源(表示为‘Zr’)、清洗、供应O3气体(表示为‘O3’)和清洗的单元循环,并且重复该单元循环直到获得所需厚度的ZrO2层,例如约30
Figure 10003_0
-约300
Figure 10003_1
的厚度。在沉积ZrO2层期间,衬底层的温度为约250℃-约350℃,腔室压力为约0.1torr-约1torr。
在更详细的图1中,Zr源可以选自Zr(O-tBu)4、Zr[N(CH3)2]4、Zr[N(C2H5)(CH3)]4、Zr[N(C2H5)2]4、Zr(TMHD)4、Zr((OiC3H7)3(TMHD)和Zr(OtBu)4。供应Zr源并使其被吸附到衬底表面。可以利用载气例如氩气(Ar)来供应Zr源。可以以约150sccm(标准立方厘米/分钟)-约250sccm的流量来供应Ar气体,持续约0.1秒-约10秒。
然后将清洗气体例如氮气(N2)或Ar供应到腔室中。清洗气体清洗残留在腔室中的未吸附部分的Zr源。可以以约200sccm-约400sccm的流量来供应清洗气体,持续约3秒-约10秒。
然后将反应气体例如作为氧化剂的O3气体供应到腔室中。反应气体的供应引起吸附部分的Zr源与O3气体的反应。可以以约0.1slm(标准升/分钟)-约1slm的流量来供应O3气体,持续约1秒-约10秒。
然后将清洗气体例如N2和Ar再次供应到腔室中。清洗气体清洗残留在腔室中的未反应部分的O3气体和反应副产物。可以以约50sccm-约200sccm的流量来供应清洗气体,持续约3秒-约10秒。
重复实施包括供应Zr源、清洗、供应O3气体和清洗的上述单元循环,以沉积具有约30
Figure 10003_2
-约300
Figure 10003_3
厚度的ZrO2层。
在通过ALD法沉积ZrO2层期间,衬底温度(或工艺温度)为约250℃-约350℃,特别是在采用单晶片型装置以获得四方结构的情况下。在此,ZrO2层的结晶可以随衬底温度的范围而变化。后面将说明根据衬底温度范围的ZrO2层的不同类型结晶。
对于反应气体(或氧化剂),除O3气体外可以使用O3等离子体或氧(O2)等离子体。
可以利用真空泵或将N2或惰性气体例如Ar供应到腔室中来清洗未反应部分的反应气体或反应副产物。
ZrO2层的ALD法在单晶片型装置或间歇型炉上实施,以获得具有四方结构的ZrO2层。
根据如图1中所示的本发明的第一实施方案,控制衬底温度、O3气体浓度和供应O3气体的时间,以改善ZrO2层的四方性。例如,衬底温度可以是约250℃-约350℃;O3气体浓度可以是约150g/m3或更多,具体可以是约150g/m3-约500g/m3;供应O3气体的时间可以是至少约1秒,具体可以是约1秒-约10秒。
图2是说明根据本发明第二实施方案利用原子层沉积(ALD)法形成氧化锆(ZrO2)层的供气顺序的图。
与本发明第一实施方案的单元循环相比,根据本发明第二实施方案的单元循环包括在图2中以‘额外_O3’表示的供应额外O3气体的附加步骤和附加清洗步骤,以改善ZrO2层的四方性。
因此,根据本发明第二实施方案的单元循环包括供应Zr源、清洗、供应O3气体、清洗、供应额外O3气体和清洗,并且可以表示为[Zr/清洗/O3/清洗/额外_O3/清洗]。额外O3气体改善ZrO2层的四方性。
在供应额外O3气体期间,O3气体用作反应气体,并且可以以约0.1slm-约1slm的流量供应,持续约1秒-约10秒。为了改善ZrO2层的四方性,O3气体可以具有至少约150g/m3的浓度,更具体为约150g/m3-约500g/m3的浓度,供应额外O3气体的时间可以是至少约1秒,更具体为约1秒-10秒。
在通过图1和2中所示的供气顺序沉积ZrO2层之后,可以在约400℃下实施后退火过程,以改善ZrO2层的四方性。因此,可因后退火过程而形成结晶。后退火过程可以是快速热退火过程或炉退火过程(furnace annealing process)。后退火过程可以在下列气氛下实施:O2、O3和O2等离子体。在使用炉退火过程的情况下,可以以约5sccm-约5slm的流量和在约600℃-约800℃的温度下供应气体。在使用快速热退火过程的情况下,可以以约5sccm-约5slm的流量在腔室中供应气体,其中腔室具有约400℃-约800℃的温度,并具有约700torr-约760torr的增压或约1torr-约100torr的减压。
根据本发明的上述实施方案,利用能够控制缓慢沉积速率的ALD法来沉积ZrO2层,以形成四方结构的ZrO2层,使得ZrO2层在低温下具有高介电常数。由于采用ALD法,因而沉积速率非常慢。因此,可以获得通过典型高温化学气相沉积(CVD)法所得类似的效果,因此可以形成稳定结构的ZrO2层。此外,为了改进在原子和分子反应表面的移动路径,在沉积ZrO2层期间使用O3气体作为反应气体。此时,控制O3气体的浓度以及暴露时间以改善ZrO2层的四方性。
因此,根据本发明的第二实施方案,控制衬底温度、O3气体的供应时间和O3气体的浓度以获得具有四方结构的ZrO2层。此外,单元循环包括供应额外O3气体。
图3是说明利用根据本发明实施方案的方法沉积的ZrO2层厚度与沉积ZrO2层期间衬底温度之间关系的图。在图3中,横轴表示衬底温度(℃),纵轴表示沉积厚度()。
当衬底温度为约200℃-约300℃时,沉积速率几乎恒定。在该温度范围内,ZrO2层的厚度停留在约50
Figure 10003_5
并且随温度缓慢增加。但是,如果在图1和2中所描述的单元循环重复许多次,例如70次,则沉积速率可在约275℃和更高温度下增加。
当通过ALD法沉积ZrO2层并且衬底温度为约300℃或更低时,沉积速率非常慢。因此,可以在低温下形成四方结构的ZrO2层。
图4是说明ZrO2层结晶随衬底温度改变的图。该图表示由X射线衍射分析的结果。在图4中,下线、中线和上线分别表示在约250℃、约275℃和约285℃的衬底温度下ZrO2层结晶的变化。
立方结构[C(111)]主要表现在约250℃的衬底温度下。但是,随着衬底温度增加到约275℃和约285℃,四方结构[T(101)]的份额增加。这表明可以通过在实施ALD法期间改变衬底温度来控制ZrO2层的结晶。
根据图3和4中所示的结果,可以通过在实施ALD法期间控制低衬底温度来形成ZrO2层。具体而言,在实施ALD法以获得具有四方结构的ZrO2层期间,衬底温度可以是约250℃-约350℃。如果衬底温度高于约350℃,则沉积速率变快,因而不能获得具有稳定结构的ZrO2层。如果衬底温度低于约250℃,则不能够获得具有四方结构的ZrO2层,因而难以保证高介电常数。
图5是通过本发明第二实施方案的方法沉积的ZrO2层的结晶与通过本发明第一实施方案的方法沉积的ZrO2层的结晶的对比图。如图5所示,由根据本发明第二实施方案的方法沉积的ZrO2层表现出立方结构之外的四方结构。
根据图4和5中所示的结果,当通过ALD法、利用控制衬底温度和作为反应气体的O3气体的暴露时间来形成ZrO2层时,可形成具有四方结构并具有更高介电常数的ZrO2层,该ZrO2层难以在室温下获得。
在上文中,采用O3气体作为反应气体。但是,其它的反应气体例如O3等离子体或氧(O2)等离子体也可用于形成具有四方结构的ZrO2层。四方结构可以通过控制反应气体的浓度(或流量)以及反应气体的暴露时间来形成。
图6A是说明利用根据本发明第三实施方案的ZrO2层作为介电层的金属-绝缘体-金属(MIM)结构的电容器的图。图6B是说明用于形成图6A中所示电容器的方法的流程图。
在图6B中,采用下列步骤来实施形成电容器的方法:形成底电极(S201),通过ALD法沉积ZrO2层(S202),实施后退火过程(S203),形成顶电极(S204)和实施附加退火过程(S205)。
参考图6A和6B,将详细地说明形成电容器的方法。
形成底电极101。(S201。)底电极101可以是平、凹或圆柱形的。底电极101可使用下列材料来形成:掺杂杂质的多晶硅、氮化钛(TiN)、铷(Ru)、铂(Pt)、铱(Ir)、氧化铷(RuO2)、氮化锆(ZrN)和氮化铪(HfN)。可以利用化学气相沉积(CVD)法、溅射法、ALD法和电镀法中的一种来沉积底电极101。
根据本发明的第一或第二实施方案,利用ALD法在底电极101上形成ZrO2层102。(S202.)可以通过上述ALD法沉积具有约30
Figure 10003_6
-300
Figure 10003_7
厚度的ZrO2层102。
实施后退火过程。(S203.)实施后退火过程以使ZrO2层102结晶,即改善ZrO2层的四方结构。可以利用快速热退火过程或炉退火过程来实施后退火过程。在实施后退火过程期间,O2、O3或O2等离子体可以用作退火气体。在使用炉退火过程的情况下,环境气体可以选自O2、O3和O2等离子体,并且可以以约5sccm-约5slm的流量和在约600℃-约800℃的温度下供应。在使用快速热退火过程的情况下,环境气体可以选自O2、O3和O2等离子体,并且可以以约5sccm-约5slm的流量供应到腔室中,其中腔室具有约400℃-约800℃的温度,并具有约700torr-约760torr的增压或约1torr-约100torr的减压。
顶电极103在ZrO2层102上方。(S204.)顶电极103可以使用下列材料来形成:掺杂杂质的多晶硅、TiN、Ru、Pt、Ir、RuO2、ZrN和HfN。可以通过CVD法、溅射法、ALD法和电镀法中的一种来沉积顶电极103。
实施附加退火过程以改善ZrO2层102的介电性能,即改善电性能。(S205.)利用快速热法或炉退火法、在N2、Ar或真空气氛下实施附加退火过程。
虽然将单ZrO2层例示为电容器介电层,但是电容器的介电层可具有叠层结构,该叠层结构包括ZrO2层和选自氧化铝(Al2O3)、氧化钛(TiO2)、氧化钽(Ta2O5)、氧化铪(HfO2)以及氧化镧(La2O3)的层。
图7是说明利用ZrO2层的MIM结构的电容器介电性能随衬底温度变化的图,所述ZrO2层通过在实施ALD法过程中控制衬底温度而沉积。
通过形成具有四方结构的ZrO2层,使得电容率随衬底温度而增加。如果衬底温度为约250℃,则电容率为约37fF/单元-39fF/单元。但是如果衬底温度增加到约265℃(图7中所示的两个样品,如265_1和265_2)和约275℃(图7中所示的两个样品,如275_1和275_2),则电容率从约41fF/单元增至约43fF/单元(在265℃时)以及从约43fF/单元增至约46fF/单元(在275℃时)。因此,根据本发明所描述的实施方案,通过控制衬底温度的ALD法,而形成四方结构的ZrO2层结晶。具有四方结构的ZrO2层被用作电容器的介电层。因此,可以增加电容器的电容率。
如图7所示,衬底温度越高、则有效氧化物厚度(EOT)越小,有效氧化物厚度定义为当在电容器中用氧化层替代介电层时用于保持相同电容的氧化物层的厚度。高度集成器件需要减小的有效氧化物厚度(EOT)。由于有效氧化物厚度(EOT)变小,因此可获得高电容率。因此,高电容率可应用于高集成器件。当衬底温度增加到约275℃时,有效氧化物厚度(EOT)减小到约9
Figure 10003_8
。因此,如果具有四方结构的ZrO2层被用作电容器的介电层时,可以获得约9
Figure 10003_9
的非常小的有效氧化物厚度(EOT)。
图7还示出电容率随电压而变化,例如当跨越电容器的电压从约+1.0V变成约-1.0V时,电容率的变化ΔCs随衬底温度的增加而增加。
图8是通过根据本发明第二实施方案的方法沉积的ZrO2层的能带隙(Eg)与通过根据本发明第一实施方案的方法沉积的ZrO2层的能带隙(Eg)的对比图。
与通过根据本发明第一实施方案的方法沉积的ZrO2层的能带隙(Eg)相比,由根据本发明第二实施方案的方法形成的ZrO2层的能带隙(Eg)更宽。具体而言,根据本发明第二实施方案的方法可以沉积具有约5.75eV能带隙的ZrO2层。根据本发明第一实施方案的方法可以沉积具有约5.15eV能带隙的ZrO2层。
根据图7和8中所示的结果,可以通过ALD法、利用控制衬底温度、O3气体的浓度以及O3气体的暴露时间来获得具有四方结构、高电容率以及大能带隙的ZrO2层。因此,如果该ZrO2层被用于动态随机存储器(DRAM)的电容器时,可容易地研制具有约50nm或更小尺寸的高集成DRAM器件。
例如,根据本发明所描述的实施方案,ZrO2层不仅可用作电容器的介电层,还可用作栅极氧化层、RF器件的介电层或快闪存储器件的中间聚氧化物(inter polyoxide)层。
根据本发明所描述的实施方案,可以通过控制衬底温度、O3气体的浓度以及O3气体的暴露时间来形成具有四方结构的ZrO2层。因此,可将具有高介电常数以及大能带隙的ZrO2层用于电容器的介电层,因此可研制具有约50nm或更小尺寸的高集成DRAM器件。
虽然已经关于一些优选实施方案描述了本发明,但是可以在不偏离如所附权利要求中限定的本发明精神和范围的情况下做出各种变化和修改,这对本领域技术人员而言是显而易见的。

Claims (36)

1.一种在腔室中在衬底上形成氧化锆层的方法,包括;
控制衬底的温度;和
重复原子层沉积法的单元循环,所述单元循环包括:
将锆源供应到腔室中,使部分锆源吸附至衬底表面中,
清洗残留在腔室内部的部分锆源;
供应反应气体,用于与吸附部分的锆源反应;和
清洗残留在腔室内部的未反应部分的反应气体和反应副产物,
其中控制衬底的温度和反应气体的浓度,使得形成具有四方结构的氧化锆层,
其中,衬底温度控制在250℃-350℃,并且供应反应气体包括供应具有至少150g/m3浓度的臭氧气体。
2.权利要求1的方法,其中供应反应气体包括供应氧化剂。
3.权利要求1的方法,其中供应反应气体包括供应臭氧气体至少1秒。
4.权利要求1的方法,其中供应锆源包括供应选自Zr(O-tBu)4、Zr[N(CH3)2]4、Zr[N(C2H5)(CH3)]4、Zr[N(C2H5)2]4、Zr(TMHD)4、Zr(OiC3H7)3(TMHD)和Zr(OtBu)4的材料。
5.权利要求1的方法,其中腔室包括单晶片型装置和间歇型炉中的一种。
6.权利要求1的方法,还包括在重复单元循环之后实施后退火过程。
7.权利要求6的方法,其中后退火过程在至少400℃的温度下实施。
8.权利要求6的方法,其中后退火过程包括快速热过程和炉热过程中的一种。
9.权利要求6的方法,其中后退火过程在选自氧气、臭氧和氧等离子体的气氛中实施。
10.权利要求1的方法,其中所述方法包括在形成于半导体衬底上的电极上形成ZrO2层。
11.一种在腔室中在衬底上形成氧化锆层的方法,包括:
重复原子层沉积法的单元循环,所述单元循环包括:
第一部分,包括:
将锆源供应到腔室中,使部分锆源吸附到衬底表面中;
清洗残留在腔室内部的未吸附部分的锆源;
供应第一反应气体,用于与吸附部分的锆源反应;和
清洗残留在腔室内部的未反应部分的第一反应气体和反应副产物;和第二部分,包括:
供应第二反应气体,用于与吸附部分的锆源反应;和
清洗残留在腔室内部的未反应部分的第二反应气体和反应副产物,
其中,衬底温度控制在250℃-350℃,并且供应第一反应气体和第二反应气体包括供应具有至少150g/m3浓度的臭氧气体。
12.权利要求11的方法,其中供应第一反应气体包括供应氧化剂。
13.权利要求11的方法,其中供应第二反应气体包括供应氧化剂。
14.权利要求11的方法,其中供应第一反应气体和第二反应气体包括供应臭氧气体至少1秒。
15.权利要求11的方法,其中供应锆源包括供应选自Zr(O-tBu)4、Zr[N(CH3)2]4、Zr[N(C2H5)(CH3)]4、Zr[N(C2H5)2]4、Zr(TMHD)4、Zr(OiC3H7)3(TMHD)和Zr(OtBu)4的材料。
16.权利要求11的方法,其中腔室包括单晶片型装置和间歇型炉中的一种。
17.权利要求11的方法,在重复单元循环之后还包括实施后退火过程。
18.权利要求17的方法,其中后退火过程在至少400℃的温度下实施。
19.权利要求17的方法,其中后退火过程包含快速热过程和炉热过程中的一种。
20.权利要求17的方法,其中后退火过程在选自氧、臭氧和氧等离子体的气氛中实施。
21.权利要求17的方法,其中所述方法包括在形成于半导体衬底上的电极上形成氧化锆层。
22.一种制造电容器的方法,包括:
在衬底上形成底电极;
利用原子层沉积法,在底电极上形成具有四方结构的氧化锆层;
实施第一退火过程以改善氧化锆层的四方性;
在氧化锆层上形成顶电极;和
实施第二退火过程以改善氧化锆层的介电性能,
其中形成氧化锆层包括:控制衬底的温度;和重复单元循环,所述单元循环包括:
将锆源供应到腔室中,使部分锆源吸附到包括底电极的衬底表面中,
清洗残留在腔室内部的未吸附部分的锆源;
供应反应气体,用于与吸附部分的锆源反应;和
清洗未反应部分的反应气体和反应副产物,
其中,衬底温度控制在250℃-350℃,并且供应反应气体包括供应具有至少150g/m3浓度的臭氧气体。
23.权利要求22的方法,其中控制衬底的温度和反应气体的浓度,使得形成具有四方结构的氧化锆层。
24.权利要求22的方法,其中供应反应气体包括供应氧化剂。
25.权利要求22的方法,其中供应反应气体包括供应臭氧气体至少1秒。
26.权利要求22的方法,其中供应锆源包括供应选自Zr(O-tBu)4、Zr[N(CH3)2]4、Zr[N(C2H5)(CH3)]4、Zr[N(C2H5)2]4、Zr(TMHD)4、Zr(OiC3H7)3(TMHD)和Zr(OtBu)4的材料。
27.权利要求22的方法,其中腔室包括单晶片型装置和间歇型炉中的一种。
28.权利要求22的方法,其中形成氧化锆层包括重复单元循环,所述单元循环还包括:
供应第二反应气体,用于与吸附部分的锆源反应;和
清洗未反应部分的第二反应气体和反应副产物。
29.权利要求28的方法,其中供应第二反应气体包括供应氧化剂。
30.权利要求28的方法,其中供应第二反应气体包括供应具有至少150g/m3浓度的臭氧气体。
31.权利要求28的方法,其中供应第二反应气体包括供应臭氧气体至少1秒。
32.权利要求22的方法,其中第一退火过程在至少400℃或更高的温度下实施。
33.权利要求22的方法,其中第一退火过程包括快速热过程和炉热过程中的一种。
34.权利要求22的方法,其中第一退火过程在选自氧、臭氧和氧等离子体的气氛中实施。
35.权利要求22的方法,其中第二退火过程在氮气、氩或真空中实施。
36.权利要求22的方法,还包括形成介电层,所述介电层和氧化锆层形成叠层,所述介电层由选自氧化铝、氧化钛、氧化钽、氧化铪以及氧化镧的材料形成。
CN2006101610397A 2006-04-04 2006-12-04 形成四方氧化锆层的方法及制造具有该层的电容器的方法 Expired - Fee Related CN101050522B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR10-2006-0030665 2006-04-04
KR1020060030665 2006-04-04
KR1020060030665A KR100716654B1 (ko) 2006-04-04 2006-04-04 정방정계 구조의 지르코늄산화막 형성 방법 및 그를 구비한캐패시터의 제조 방법

Publications (2)

Publication Number Publication Date
CN101050522A CN101050522A (zh) 2007-10-10
CN101050522B true CN101050522B (zh) 2013-01-02

Family

ID=38270322

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006101610397A Expired - Fee Related CN101050522B (zh) 2006-04-04 2006-12-04 形成四方氧化锆层的方法及制造具有该层的电容器的方法

Country Status (5)

Country Link
US (1) US7772132B2 (zh)
JP (1) JP5038659B2 (zh)
KR (1) KR100716654B1 (zh)
CN (1) CN101050522B (zh)
TW (1) TWI338331B (zh)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4228008B2 (ja) * 2006-08-23 2009-02-25 エルピーダメモリ株式会社 半導体装置の製造方法
KR100819002B1 (ko) * 2006-10-20 2008-04-02 삼성전자주식회사 비휘발성 메모리 소자 제조 방법
US20080211065A1 (en) * 2007-03-02 2008-09-04 Shrinivas Govindarajan Semiconductor devices and methods of manufacture thereof
KR100965771B1 (ko) 2007-05-07 2010-06-24 주식회사 하이닉스반도체 탄탈륨산화막을 구비한 유전막 제조 방법 및 그를 이용한캐패시터 제조 방법
JP5221089B2 (ja) * 2007-09-19 2013-06-26 東京エレクトロン株式会社 成膜方法、成膜装置および記憶媒体
US8159012B2 (en) 2007-09-28 2012-04-17 Samsung Electronics Co., Ltd. Semiconductor device including insulating layer of cubic system or tetragonal system
US8969188B2 (en) 2008-09-26 2015-03-03 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
JP5423529B2 (ja) * 2010-03-29 2014-02-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5587716B2 (ja) 2010-09-27 2014-09-10 マイクロンメモリジャパン株式会社 半導体装置及びその製造方法、並びに吸着サイト・ブロッキング原子層堆積法
US8530322B2 (en) * 2010-12-16 2013-09-10 Intermolecular, Inc. Method of forming stacked metal oxide layers
JP6017361B2 (ja) * 2013-03-29 2016-10-26 東京エレクトロン株式会社 成膜方法および成膜装置
US9930903B2 (en) * 2013-08-27 2018-04-03 Anthony V. Feola Frozen confection machine
US10301159B2 (en) 2013-08-27 2019-05-28 Anthony V. Feola Beverage dispensing machine
US9425394B2 (en) * 2013-09-03 2016-08-23 Intermolecular, Inc. Doped oxide dielectrics for resistive random access memory cells
US9178006B2 (en) 2014-02-10 2015-11-03 Intermolecular, Inc. Methods to improve electrical performance of ZrO2 based high-K dielectric materials for DRAM applications
KR101569447B1 (ko) 2014-04-29 2015-11-16 (주)디엔에프 지르코늄 산화물 박막 형성용 전구체 화합물, 이의 제조방법 및 이를 이용한 박막의 제조방법
KR102322960B1 (ko) 2015-07-15 2021-11-05 삼성전자주식회사 반도체 소자 제조 방법
US10453913B2 (en) * 2017-04-26 2019-10-22 Samsung Electronics Co., Ltd. Capacitor, semiconductor device and methods of manufacturing the capacitor and the semiconductor device
KR102466330B1 (ko) * 2017-04-26 2022-11-15 삼성전자주식회사 커패시터 및 그 제조 방법
KR102428322B1 (ko) * 2017-09-15 2022-08-03 삼성전자주식회사 커패시터의 제조 방법 및 반도체 소자
CN112713081A (zh) * 2019-10-24 2021-04-27 夏泰鑫半导体(青岛)有限公司 半导体元件及其制备方法
CN111952267B (zh) * 2020-09-16 2024-03-15 大连达利凯普科技股份公司 一种提高单层电容器键合强度的制造工艺
US20220310776A1 (en) * 2021-03-23 2022-09-29 Applied Materials, Inc. Integrated platform for tin pvd and high-k ald for beol mim capacitor

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020001974A1 (en) * 2000-06-30 2002-01-03 Lim Chan Method for manufacturing zirconium oxide film for use in semiconductor device
CN1396638A (zh) * 2001-06-28 2003-02-12 夏普公司 使用原子层沉积在基片上沉积高介电常数材料的方法
WO2004017377A2 (en) * 2002-08-18 2004-02-26 Aviza Technology, Inc. Atomic layer deposition of high k metal oxides

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6297539B1 (en) * 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US7087482B2 (en) * 2001-01-19 2006-08-08 Samsung Electronics Co., Ltd. Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
JP4171250B2 (ja) * 2002-06-19 2008-10-22 東京エレクトロン株式会社 半導体装置の製造方法
US6753224B1 (en) * 2002-12-19 2004-06-22 Taiwan Semiconductor Manufacturing Company Layer of high-k inter-poly dielectric
US6930059B2 (en) * 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
JP3920235B2 (ja) 2003-03-24 2007-05-30 株式会社ルネサステクノロジ 半導体装置の製造方法
KR20050029339A (ko) * 2003-09-22 2005-03-28 삼성전자주식회사 원자층 증착법을 이용한 유전막 형성방법, 및 이를 이용한반도체 장치의 캐패시터 형성방법
US6989573B2 (en) * 2003-10-10 2006-01-24 Micron Technology, Inc. Lanthanide oxide/zirconium oxide atomic layer deposited nanolaminate gate dielectrics
KR20050050003A (ko) * 2003-11-24 2005-05-27 삼성전자주식회사 원자층증착 기술을 사용하여 탄소 불순물들을 함유하는유전막을 형성하는 방법
JP4916092B2 (ja) * 2004-02-26 2012-04-11 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP4303709B2 (ja) * 2005-07-11 2009-07-29 Necエレクトロニクス株式会社 半導体装置の製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020001974A1 (en) * 2000-06-30 2002-01-03 Lim Chan Method for manufacturing zirconium oxide film for use in semiconductor device
CN1396638A (zh) * 2001-06-28 2003-02-12 夏普公司 使用原子层沉积在基片上沉积高介电常数材料的方法
WO2004017377A2 (en) * 2002-08-18 2004-02-26 Aviza Technology, Inc. Atomic layer deposition of high k metal oxides

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
US 20020001974 A1,段落[0008]、[0012]-[0016]和[0020].

Also Published As

Publication number Publication date
CN101050522A (zh) 2007-10-10
TW200739733A (en) 2007-10-16
US7772132B2 (en) 2010-08-10
JP2007281407A (ja) 2007-10-25
TWI338331B (en) 2011-03-01
KR100716654B1 (ko) 2007-05-09
JP5038659B2 (ja) 2012-10-03
US20070232081A1 (en) 2007-10-04

Similar Documents

Publication Publication Date Title
CN101050522B (zh) 形成四方氧化锆层的方法及制造具有该层的电容器的方法
KR101505693B1 (ko) 지르코늄 치환된 티탄산바륨 게이트 유전체
KR100555543B1 (ko) 원자층 증착법에 의한 고유전막 형성 방법 및 그고유전막을 갖는 커패시터의 제조 방법
US7888726B2 (en) Capacitor for semiconductor device
US7735206B2 (en) Method for forming a capacitor dielectric and method for manufacturing capacitor using the capacitor dielectric
US20050051828A1 (en) Methods of forming metal thin films, lanthanum oxide films, and high dielectric films for semiconductor devices using atomic layer deposition
US7491654B2 (en) Method of forming a ZrO2 thin film using plasma enhanced atomic layer deposition and method of fabricating a capacitor of a semiconductor memory device having the thin film
TWI488290B (zh) 包括含碳電極之半導體元件及其製法
US20070223176A1 (en) Capacitor with hafnium oxide and aluminum oxide alloyed dielectric layer and method for fabricating the same
US20110207283A1 (en) High temperature atomic layer deposition of dielectric oxides
US20070001201A1 (en) Capacitor with nano-composite dielectric layer and method for fabricating the same
TW200834821A (en) Method of forming a structure having a high dielectric constant, a structure having a high dielectric constant, a capacitor including the structure, and method of forming the capacitor
US20110027465A1 (en) Method for forming dielectric film and method for forming capacitor in semiconductor device using the same
US20070099379A1 (en) Method of manufacturing a dielectric film in a capacitor
US6713199B2 (en) Multilayer structure used especially as a material of high relative permittivity
US8202808B2 (en) Methods of forming strontium titanate films
KR100716642B1 (ko) 캐패시터의 유전막 및 그의 제조방법
US20030129446A1 (en) Multilayer structure used especially as a material of high relative permittivity
KR100475116B1 (ko) 산화알루미늄/산화하프늄 복합유전막을 가지는 반도체메모리 소자의 커패시터 및 그 제조 방법
KR100780650B1 (ko) 반도체 소자의 캐패시터 및 그 제조 방법
KR20070114519A (ko) 캐패시터의 유전막 및 그 제조 방법과 이를 이용한 반도체소자의 캐패시터 및 그 제조 방법
KR20080019334A (ko) 박막 형성 방법 및 이를 이용하는 커패시터 제조 방법
Ahn et al. Zr-substituted BaTiO 3 films
Ahn et al. Lanthanide doped TiO x films
KR20040051298A (ko) 알루미나와 하프늄산화물의 적층 유전막을 갖는캐패시터의 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130102

Termination date: 20141204

EXPY Termination of patent right or utility model