CN100477151C - 沟渠隔离工艺及方法 - Google Patents

沟渠隔离工艺及方法 Download PDF

Info

Publication number
CN100477151C
CN100477151C CNB2004800021801A CN200480002180A CN100477151C CN 100477151 C CN100477151 C CN 100477151C CN B2004800021801 A CNB2004800021801 A CN B2004800021801A CN 200480002180 A CN200480002180 A CN 200480002180A CN 100477151 C CN100477151 C CN 100477151C
Authority
CN
China
Prior art keywords
layer
ditches
irrigation canals
technology
liner
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004800021801A
Other languages
English (en)
Other versions
CN1739196A (zh
Inventor
M·V·恩戈
相奇
P·R·贝赛尔
E·N·佩顿
林明仁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of CN1739196A publication Critical patent/CN1739196A/zh
Application granted granted Critical
Publication of CN100477151C publication Critical patent/CN100477151C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Element Separation (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一种利用浅沟渠隔离(shallow trench isolation,STI)技术制造集成电路(integrated circuit,IC)的方法。该浅沟渠隔离技术用于应变硅(strained silicon,SMOS)工艺中。沟渠的衬垫(liner)是由用以减少锗的脱气(outgassing)的低温工艺中所沉积的沉积层而形成。该低温工艺可以是一种低压气相沉积法(LPCVD)。还可以在衬垫上执行退火(annealing)步骤。

Description

沟渠隔离工艺及方法
发明领域
本发明涉及集成电路(integrated circuit,IC)器件及制造IC器件的工艺。更具体地,本发明涉及一种在含锗的衬底或含锗层上形成沟渠隔离结构的方法。
背景技术
集成电路(IC)含有许多形成在半导体衬底上的晶体管。已知有许多方法可在半导体衬底上形成晶体管。通常晶体管是利用绝缘或隔离结构而彼此隔离。
一种在硅衬底上形成晶体管的方法是众所周知的硅局部氧化(Local Oxidation of Silicon,LOCOS)工艺。传统的LOCOS工艺通常包含下列简化的步骤。首先,在硅衬底上热生长一氮化硅层。传统的LOCOS工艺通常需要一层高质量、热生长的氮化硅层,以避免分层(delamination)或其它的工艺问题。其次,利用光刻及蚀刻工艺,选择性地去除氮化物层,以产生欲形成的晶体管的源极/漏极区域的图形。在图形化源极/漏极区域之后,生长场氧化物(field oxide)。由于氧化物的生长在留有氮化硅处会受到抑制,所以氧化物只会生长在源极/漏极图形化步骤中所露出的硅衬底处。最后,待氧化物完全生长之后,去除氮化物层的剩余部分,在露出的硅衬底上只留下已氧化的源极/漏极区域。
另一个用来形成绝缘结构并定义出源极与漏极区域的工艺,是浅沟渠隔离(STI)工艺。传统的STI工艺通常包含下列简化的步骤。首先,在硅衬底上热生长或沉积出一氮化硅层。接下来,利用光刻及蚀刻工艺,选择性地去除氮化硅层,以产生欲形成的晶体管的源极/漏极区域的图形。在图形化源极/漏极区域之后,蚀刻该衬底以形成沟渠。形成沟渠之后,在沟渠露出的表面上热生长一衬垫(liner)。衬垫氧化物(liner oxide)通常是在非常高温的氢氯酸(hydrochloric acid,HCl)环境下形成的。将如二氧化硅(SiO2)的绝缘材料覆盖(blanket)沉积在氮化物层以及沟渠中的衬垫氧化物上。剖光绝缘材料以形成一平坦表面。然后去除氮化物,只留下沟渠中的氧化物结构。
浅沟渠隔离(STI)结构用于应变硅(strained silicon,SMOS)工艺中。利用SMOS工艺增加硅的载流子迁移率来提高晶体管(MOSFET)的性能,因而可降低阻抗与功耗,提升驱动电流、频率响应、和操作速度。应变硅通常是通过在一硅锗衬底或硅锗层上生长一硅层而形成。
该硅锗衬底的硅锗晶格通常比纯硅晶格排列地较宽松,锗含量越高则间隙越宽松。因为硅晶格与较大的硅锗晶格对准排列,所以硅层中会产生拉伸应变(tensile strain)。实质上,硅原子被彼此拉开。
松弛硅(relaxed silicon)具有含六个相等价带(valence band)的导带(conductive band)。硅所产生的拉伸应变会造成其中四个价带的能量上升,而两个价带的能量下降。受量子效应的影响,电子在通过低能带时有效重量降低百分之三十。如此,可使低能带对电子流提供较少的阻抗。除此之外,电子所遇到的硅原子核的震动能较少,使电子散射率比在松弛硅中的散射率小500至1000倍。因而,相对于松弛硅,应变硅的载流子迁移率(carrier mobility)大幅提升,可使电子迁移率提升至少80%、空穴迁移率提升至少20%。迁移率的提升可使电场维持至1.5兆伏/厘米(megavolts/centimeter)。这些因素可使器件在无须进一步减小器件尺寸的情况下,使速度增加35%、或在不降低性能的情况下减少25%的功耗。
SMOS工艺中所使用的锗,会造成IC结构、层、与设备的锗沾污(germanium contamination)问题。特别是锗的脱气(outgassing)和外扩散(outdiffusion)会污染许多与制造设备相关的部件以及与已加工晶片相关的集成电路结构。而且,锗的脱气会不利地影响薄膜的形成。此外,锗的外扩散会造成锗累积(accumulation)或堆积(pile-up)在衬垫的界面处,进而造成STI结构的可靠度问题。
锗的脱气问题在与浅沟渠隔离(STI)结构的衬垫相关的非常高温及HCl环境中特别显著。例如,传统的STI衬垫氧化物工艺使用约1000℃的温度,这会提高锗的脱气。
因此,需要一种能在低温工艺中形成的STI衬垫。此外,需要一种能形成具有良好兼容性(compatibility)的高质量氧化物且不易受锗脱气影响的工艺。再者,需要一种形成SMOS沟渠衬垫的工艺。更甚者,需要一种不易受锗脱气影响的形成衬垫的工艺。更甚者,需要一种无需利用高温而热生长衬垫的STI工艺。
发明内容
例示性的实施例涉及一种制造集成电路的方法。该集成电路包括在一含锗衬底中的沟渠隔离区域。该方法包括在衬底上形成一氮化硅层,并选择性地蚀刻该氮化硅层以形成与沟渠隔离(STI)区域的位置相关的开孔(aperture)。该方法也包括在衬底中的该位置形成沟渠,并以低温工艺在衬底的沟渠中形成氧化物衬垫的步骤。
另一例示性实施例涉及一种在半导体层中形成浅沟渠隔离区域的方法。该方法包括在该半导体层上提供硬掩膜层(hard mask layer)、在该硬掩膜层上提供光刻胶层(photoresist layer)、以及在光刻工艺中选择性地去除部分光刻胶层。该方法进一步包括去除一些位置处的硬掩膜层、在该硬掩膜层中的该位置下方形成沟渠、以紫外光工艺在该沟渠中形成衬垫。
另一例示性实施例涉及一种在含锗层内的沟渠中形成衬垫的方法。该方法包括选择性地蚀刻该含锗层以形成沟渠以及以低温工艺在沟渠中提供衬垫。
附图说明
通过下列详细说明同时参照图式,可更充分了解例示性实施例,附图中的相同标号代表相同部分,其中:
图1是根据浅沟渠隔离工艺(STI)的例示性实施例的包含应变硅层、氧化层、硬掩膜层和光刻胶层的硅锗衬底的一部分剖面图;
图2是图1所示部分的剖面图,显示了光刻图形化步骤;
图3是图2所示部分的剖面图,显示了硬掩膜层的选择性蚀刻步骤;
图4是图3所示部分的剖面图,显示了氧化层的选择性蚀刻步骤;
图5是图4所示部分的剖面图,显示了应变硅层的选择性蚀刻步骤;
图6是图5所示部分的剖面图,显示了锗硅衬底的选择性蚀刻步骤;
图7是图6所示部分的剖面图,显示了低温形成衬垫的步骤;
图8是图7所示部分的剖面图,显示了形成栅极的步骤;
图9是图1所示部分的浅沟渠隔离工艺的整体方块图;
图10是根据另一浅沟渠隔离工艺(STI)的例示性实施例的包含应变硅层、氧化层、硬掩膜层和光刻胶层的硅锗衬底的一部分剖面图;
图11是图10所示部分的剖面图,显示了光刻图形化步骤;
图12是图11所示部分的剖面图,显示了硬掩膜层的选择性蚀刻步骤;
图13是图12所示部分的剖面图,显示了氧化层的选择性蚀刻步骤;
图14是图13所示部分的剖面图,显示了应变硅层的选择性蚀刻步骤;
图15是图14所示部分的剖面图,显示了锗硅衬底的选择性蚀刻步骤;
图16是图15所示部分的剖面图,显示了半导体沉积步骤;
图17是图16所示部分的剖面图,显示了形成衬垫的步骤;
图18是图17所示部分的剖面图,显示了选择性去除衬垫的步骤;
图19是图18所示部分的剖面图,显示了填充沟渠的步骤;
图20是图19所示部分的剖面图,显示了形成栅极的步骤;
图21是根据另一例示性实施例的在图10所示部分中的浅沟渠隔离工艺(STI)的整体方块图。
具体实施方式
图1至图9是根据例示性实施例说明一种集成电路(IC)制造方法。如图1至图9所述的方法,可减少硅锗层或结构的锗脱气和外扩散问题。该工艺能用于浅沟渠隔离工艺(STI)、或是任何需要衬垫氧化物且使用锗或其它具有高温脱气倾向物质的工艺中。有利地是,衬垫氧化物能够在低温形成,且提供具有良好兼容性的高质量氧化物。如图1至图9所述的实施例,低温工艺是指在低于约700℃温度进行的工艺。
参照图1至9,其说明了集成电路(IC)的部分12的剖面图。部分12是以工艺100(图9)形成浅沟渠隔离(STI)结构。部分12包含形成在应变硅层16上的氧化层18。该应变硅层16形成在半导体衬底14或含锗层或含锗衬底上。衬底14能形成在衬底13上。
衬底13为非必要地,且部分12能以衬底14为最底层。如图10至图21所述的实施例,其显示了衬底114之下不具有如衬底13(图1)的衬底。衬底13可以是与衬底14相同或不同的材质。在一个实施例中,衬底13是一种半导体衬底,例如上方已生长硅锗衬底14的硅衬底。
部分12可以是任何形式的半导体器件,或其部分,可通过任何半导体工艺制得,如,互补金属氧化物半导体(CMOS)工艺、双极型(Bipolar)工艺或是其它半导体工艺。部分12可以是一个完整的IC或IC的一部分,且可包含许多电子部件部分。
衬底14较佳地是硅锗或其它含锗的半导体材料,且能掺杂P-型杂质或是N-型杂质。衬底14可以是形成于如衬底13的半导体或绝缘基部上的外延层(epitaxial layer)。此外,衬底14较佳地是由硅锗(Si1-xGex,其中X约为0.2,且通常范围为0.1至0.4)组成。衬底14能被生长或沉积。
在一个实施例中,衬底14通过化学气相沉积(chemical vapordeposition,CVD)生长于衬底13上,其中CVD的源气体为乙硅烷(disilane,Si2H6)及锗烷(germane,GeH4),衬底温度约为650℃,乙硅烷的分压约为30mPa,锗烷的分压约为60mPa。可使用上述比例开始硅锗材料的生长,或者是锗的分压可由压力很低或零开始逐渐增加以形成一梯度组成物(gradient composition)。或者,能通过离子注入(ion implantation)锗而掺杂硅层或利用其它工艺来形成衬底14。较佳地,衬底14通过外延而生长至厚度小于约5000埃(且较佳地介于约1500及4000埃之间)。
应变硅层16由外延工艺而形成于衬底14之上。较佳地,应变硅层16是通过CVD在600℃生长而得。应变硅层16可以是纯硅层,且其厚度介于约50至150埃之间。
垫氧化物薄膜(pad oxide film)或氧化层(oxide layer)18形成于应变硅层16之上。层18为非必要的。层18较佳地是热生长于层16上,厚度介于约100至300埃之间。层18作为缓冲层(buffer layer),能在传统高温工艺加热至约1000℃的氧气环境中热生长而得。因为有层18存在,在此锗的脱气和外扩散不会成为问题。
阻挡层(barrier)或硬掩膜层22形成于氧化层18上。较佳地,硬掩膜层22是以沉积或热生长工艺所形成的厚度介于约300至1000埃之间的氮化硅(Si3N4)。较佳地,硬掩膜层22是由CVD工艺或生长工艺形成。也能使用低压的等离子增强化学气相沉积(plasma enhancedCVD,PECVD)工艺,还能使用传统的高温(如,600℃或600℃以上)下的二氯硅烷(dichlorosilane,SiH2Cl2)、氨气(NH3)和氮气(N2)的混合物的热氮化工艺。用于沉积氮化物的PECVD工艺使用硅烷(SiH4)、氮气(N2)和氨气(NH3),其功率介于约550至650瓦之间,温度为500℃。相对于传统CVD或生长工艺所用的N2/NH3/SiCl2H2,能用氨气(NH3)硅烷(SiH4/N2)的混合等离子体来形成硬掩膜层22。
光刻胶层24旋转涂布(spun)于硬掩膜层22之上。较佳地,光刻胶层24是任何市售的i-line或深紫外(deep UV)光刻胶,例如,(Shipley Corp.,MA)SPR 955(i-line)UV5(deep UV)。在图1和图2中,依据工艺100的步骤102(图9)使用掩膜或标线(reticle)28,经由光刻工艺选择性去除光刻胶层24以留下开孔34。在图3中,根据工艺100的步骤104(图9)利用干法蚀刻工艺(dry-etching)蚀刻硬掩膜层22,以使开孔34到达氧化层18。相对于氧化层24,该干法蚀刻工艺对氮化硅是选择性地。层24能在层22蚀刻之后被剥除(stripped)。
根据工艺100的步骤104(图9),在图4中,改变蚀刻工艺以蚀穿二氧化硅材料,并蚀刻层18以使开孔34到达层16。层18能以干法蚀刻工艺蚀刻。或者,使用其它蚀刻技术以去除层18的经选择的部分。光刻胶层24(图1)能在蚀刻氧化层18之前或之后被去除。
在图5中,改变蚀刻步骤以蚀穿硅材料。应变硅层16能通过干法蚀刻工艺而去除,以使开孔34到达衬底14。
根据工艺100的步骤106(图9),在图6中,通过开孔34蚀刻衬底14以形成浅沟渠隔离结构的沟渠。该沟渠较佳地具有与开孔34一致的宽度。该沟渠较佳地具有介于约1500至4000埃的深度及0.18-1.50nm或更窄的宽度。该沟渠位于底部的较窄部分能具有剖面呈梯形的形状。如图10至图21所述的实施例,显示了该沟渠具有梯形剖面的形状。衬底14较佳地被干法蚀刻工艺蚀刻以形成该沟渠。衬底14可与层16在同一步骤中被蚀刻。
虽然已叙述了该沟渠经干法蚀刻工艺蚀刻出,但是该沟渠也能以任何适于在衬底14中形成开孔的工艺而形成。在一个实施例中,用于该沟渠的开孔的形成从衬底14贯穿至衬底13。另外,视衬底14的厚度而定,与开孔34相连的沟渠的底部可能不会到达衬底13。在一个并没有提供衬底13的实施例中,衬底14比与该开孔34相连的沟渠要深。
在图7中,衬垫38形成于与开孔34相连的沟渠中。较佳地,衬垫38是以低温工艺形成的氧化物(如,氧化硅或二氧化硅)材料。在一个实施例中,衬垫38的厚度介于约200至500埃之间,且形成在沟渠的底部和侧壁上。在一个实施例中,在沟渠未被填充之前不去除层18和22。
虽然在图8中只显示出形成在衬底14上,但是衬垫38也能形成在层16、18和22上。如图10至图21所述的实施例,显示了衬垫138形成在层116、118和122上并且也形成在层122的上方。
根据一个实施例,以有益的紫外光臭氧(ultraviolet light ozone,UVO)工艺形成衬垫38。在一个实施例中,包含与开孔34相连的沟渠的部分12被提供在氧气环境中并接受紫外光的照射。在一个实施例中,该沟渠在暴露于UV光时通过吸收波长约为185nm的UV光而反应形成臭氧(O3)及氧原子(O)。臭氧一旦形成之后,即能吸收波长约为254nm的UV光而再分解形成更多的氧原子。
氧原子作为一种强氧化剂。氧原子和臭氧都可与和衬底14和/或层16相连的硅产生反应以形成氧化层。虽然只显示出衬垫38形成在衬底14上,但衬垫38也能生长在与层16相关的侧壁上。在2001年1月2日出版到Vaccari的第6,168,961号美国专利中论述了例示性的UVO工艺。根据此实施例,可使用任何采用任何UVO技术的方法,包含调整UVO的能量及氧气环境。
其优点在于,该UVO工艺为低温工艺,因而可降低锗的外扩散。较佳地,该UVO工艺在温度低于约600℃时进行。虽使用低温,但仍能产生高质量且具良好兼容性的衬垫38。在一个最佳实施例中,该UVO工艺在温度远低于600℃(如,低于550℃)时进行。
根据另一个实施例,使用双频射频功率PECVD工艺(dualfrequency RF power PECVD),在温度介于约500至550℃之间时,形成衬垫38。较佳地,化学气相沉积工艺在低温(如,低于700℃)下进行,并使用SiH4与O2气体。在衬垫38形成的厚度达200至500埃之后,在温度介于约900至1000℃之间(如,950℃)时于氮气环境中进行为时约30秒的迅速的快速热退火(rapid thermal anneal,RTA)。申请人相信如此短的RTA时间,不会造成明显的锗脱气或外扩散。
在又一个实施例中,衬垫38能由原子层沉积(atomic layerdeposition,ALD)技术形成。较佳地,该ALD技术在硅烷与氧气氛下使用约700℃的温度。根据一例示性实施例,该ALD技术使用脉冲式周期工艺(pulse cycle process),在约10至30秒间将SiH4和O2气流交互地开与关(脉冲的)。
在又另一个实施例中,衬垫38能由与前述CVD工艺相似的高密度等离子氧化物沉积(high density plasma oxide deposition,HDP)工艺形成。较佳地,在温度低于700℃时,该沉积工艺中不使用NH3而使用硅烷取代。该HDP工艺较佳地使用SiH4和O2气体以及介于约600至650℃的温度。该HDP工艺使用高射频功率(如,介于约4000至5000瓦之间)。
在图8中,在层16上以及与开孔34相连的沟渠内覆盖地沉积一层绝缘材料40。绝缘材料40较佳地是在HDP工艺中沉积出的二氧化硅。较佳地,绝缘材料40是在硅烷(SiH4)工艺中沉积出的。或者,也能使用硼磷硅玻璃(boron phosphate silicon glass,BPSG)工艺。绝缘材料40的厚度较佳地介于约2000至8000埃之间。
绝缘材料40利用剖光/蚀刻方式被去除至抵达层16的上表面为止。绝缘材料40的去除会使氧化材料存留在与开孔34相连的沟渠内。能通过许多剥除(stripping)或蚀刻工艺来去除绝缘材料40。较佳地,通过化学机械剖光(chemical-mechanical polishing,CMP)使其由层16的上方被去除。
在一个实施例中,在沟渠形成后以及层22剥除前沉积绝缘材料40。该绝缘材料剖光或蚀刻至抵达层22为止。层22及18能在后续工艺中被剥除。
虽然在图8中显示的材料为形成在沟渠内(衬垫38的上表面及侧表面之上)至层16的上表面的单一结构,绝缘材料40也可止于衬垫38的上表面。
在绝缘材料40形成于与开孔34相连的沟渠中之后,能形成栅极结构44。栅极结构44可以是传统的MOSFET栅极结构,例如,金属覆在氧化物上的栅极结构(metal over oxide gate structure)或多晶硅覆在氧化物上的栅极结构(polysilicon over oxide gate structure)。在一个实施例中,栅极结构44由氮化钽(tantalum nitride)或氮化钛(titaniumnitride)的栅极导体所组成,该栅极导体由等离子气相沉积溅镀技术形成。溅镀时,能提供氮气(N2)以修改栅极导体中金属与氮的组成。此修改能被用于调整栅极结构44的功函数(work function)。例如,通过调整与栅极结构44相关的氮气流量,能获得200毫伏的临界电压(threshold voltage)偏移。
图10至21显示了根据另一实施例的一种集成电路(IC)制造方法。如图10至图21所述的方法会减少硅锗结构的锗脱气及外扩散问题。此工艺能用于浅沟渠隔离(STI)工艺、或任何需要衬垫氧化物且使用锗或其它具有高温脱气倾向物质的工艺中。有利地是,衬底氧化物层能由以低温形成的另一层而形成,且提供具良好兼容性的高质量氧化层。如图10至21所述的实施例,低温是指低于约700℃的温度。图10至20与图1至8中相似的结构具有相似的标号(数字相差100)。
参照图10及图21,说明了集成电路(IC)的部分112的剖面图。部分112(图10)通过工艺200(图21)形成浅沟渠隔离(STI)结构。部分112包含形成于应变硅层116上的氧化层118。层116形成在半导体衬底114或含锗层或含锗衬底上。衬底114能形成在如衬底13(图1)的衬底上。
部分112可以是任何形式的半导体器件或其部分,可以通过任何半导体工艺制得,如,互补金属氧化物半导体工艺(CMOS)、双极型工艺或其它半导体工艺。部分112可以是一个完整的IC或IC的一部分,且可包含许多电子部件部分。
衬底114较佳地是硅锗或其它含锗的半导体材料,且能掺杂P-型杂质或是N-型杂质。衬底114可以是形成在如衬底13的半导体或绝缘基部上的外延层。此外,衬底114较佳地由硅锗(Si1-xGex,其中X约为0.2,且通常范围为0.1至0.4)组成。衬底114可以是晶片的一部分,且与衬底14(图1)相似。
应变硅层116由外延工艺而形成在衬底114上。较佳地,层116是通过CVD在600℃生长而成。层116可以是纯硅层,且其厚度介于约50至150埃之间。
垫氧化薄膜或氧化层118形成在层116上。层118为非必要的。层118较佳地热生长在衬底116上,厚度介于约100至300埃之间。层118作为缓冲层,且能以传统高温工艺于氧气环境中通过加热至约1000℃热生长而得。因为有层118存在,在此锗的脱气和外扩散不会成为问题。
阻挡层或硬掩膜层122形成在氧化层118上。较佳地,硬掩膜层122是以沉积或热生长工艺制得的厚度介于约300至1000埃之间的氮化硅(Si3N4)。较佳地,硬掩膜层122是以CVD工艺或生长工艺而形成,且能与层22(图1)相似。
光刻胶层124旋涂在硬掩膜层122上。较佳地,光刻胶层124是任何市售的i-line或深紫外(deep UV)光刻胶,例如(Shipley Corp.,MA)SPR 955(i-line)UV5(deep UV)。根据工艺200的步骤202(图21),在图11中,使用掩膜或标线128,经由光刻工艺选择性去除光刻胶层124以留下开孔134。
根据工艺200的步骤204(图21),在图12中,利用干法蚀刻工艺蚀刻硬掩膜层122,以使开孔134到达氧化层118。相对于氧化层124,该干法蚀刻工艺对氮化硅是选择性地。层124能在层122蚀刻之后被剥除。
根据工艺200的步骤204(图21),在图13中,改变蚀刻工艺以蚀穿二氧化硅材料,并蚀刻层118以使开孔134到达层116。层118能以干法蚀刻工艺被蚀刻。或者,能够使用其它的蚀刻技术来去除层118的经选择的部分。光刻胶层124(图10)能在蚀刻氧化层118之前或之后被去除。
在图14中,改变蚀刻步骤以蚀穿硅材。能通过干法蚀刻工艺而去除应变硅层116,以使开孔134到达衬底114。
根据工艺200的步骤206(图21),在图15中,通过开孔134蚀刻衬底114以形成浅沟渠隔离结构的沟渠。该沟渠较佳地具有与开孔134一致的宽度。该沟渠较佳地具有介于约1500至4000埃的深度及0.18-1.50nm或更窄的宽度。该沟渠位于底部的较窄部分能具有剖面为梯形的形状。该沟渠也能具有其它的剖面形状。衬底114较佳地经干法蚀刻工艺蚀刻以形成该沟渠。衬底114能与层116在同一步骤被蚀刻。
虽然说明了该沟渠以干法蚀刻工艺被蚀刻,但该沟渠也能通过任何适于在衬底114中形成开孔的工艺而形成。在一个实施例中,该沟渠的开孔完全穿越衬底114至另一衬底(如,图1的衬底13)。
在图16中,共形层126(conformal layer)形成在沟渠中及层122上。在一个实施例中,层126是在低温(如,600℃以下)下形成的半导体或金属层。层126较佳地是能被氧化形成如氧化物衬垫(oxideliner)的绝缘材料的层。最佳地,层126是在500-600℃时通过CVD沉积的100至200埃厚的非晶硅层(amorphous silicon)。层126根据工艺200的步骤208(图21)被沉积。
层126较佳地形成在与衬底114及层116、118和122的开孔134相连的沟渠侧壁上。层126也形成在层122的上表面上。在图17中,层126被转换成一种如衬垫氧化物材料128的绝缘材料。较佳地,层126(图16)在温度介于约650至750℃的热浸透O2(thermally soakingO2)氧化工艺中,被形成为衬垫氧化物材料128。较佳地,该氧化工艺能在高温下实施。锗的外扩散因与层126相关的阻挡层而减少。较佳地,整个层126被转换成衬垫氧化物材料128。
在图18中,层122被平坦化或蚀刻,以从其上方表面去除衬垫氧化物材料128。或者,层126能在衬垫氧化物材料128形成之前,从层122的上表面被去除。衬垫氧化物材料128剩余部分即成为与开孔134相连的沟渠的衬垫138。
在图18中,衬垫138形成在与开孔134相连的沟渠内。较佳地,衬垫138是通过氧化半导体或金属层所形成的氧化物(如,氧化硅或二氧化硅)材料。在一个实施例中,衬垫138的厚度约为200至500埃。在一个实施例中,层122及118是在衬垫138形成之前被剥除。在一个较佳实施例中,层118及122是在沟渠填充后才被剥除。
在图19中,在层116上以及与开孔134相连的沟渠中覆盖地沉积一层绝缘材料140的层142。绝缘材料140较佳地是以HDP工艺所沉积的二氧化硅,且与材料40(图8)相似。较佳地,绝缘材料140以硅烷(SiH4)工艺被沉积。或者,也能使用硼磷硅玻璃(BPSG)工艺。绝缘材料140的厚度较佳地介于约2000至8000埃之间。
绝缘材料140利用剖光/蚀刻方式被去除到抵达层122的上表面为止。绝缘材料140的去除会在与开孔34相连的沟渠内留下氧化物材料140。能通过许多剥除或蚀刻工艺去除绝缘材料140。较佳地,利用干法蚀刻从层122的上方去除绝缘材料140。
在一个实施例中,与绝缘材料140相关的绝缘层142在沟渠形成以及层122和116剥除之后被沉积。绝缘层142被剖光或蚀刻至抵达层122为止。
虽然在图19中显示的材料为形成于沟渠(衬垫138的上表面及侧表面的上)内至层116的上表面的单一结构,但绝缘材料140也可止于衬垫138的上表面。
在图20中,当绝缘材料140形成在与开孔134相连的沟渠中后,能形成栅极结构156。栅极结构156可以是传统的MOSFET栅极结构,例如,金属覆在氧化物上的栅极结构或多晶硅覆在氧化物上的栅极结构。在一个实施例中,栅极结构156被覆以氧化物(如,二氧化硅层154)及碳化硅(SiC)层148。在层154和148形成之后,退火部分112。层148能防止锗的脱气。
若在部分112中不使用层118且将栅极结构156直接形成在含锗衬底上时,使用层148及154的技术会特别有利。在另一实施例中,层148可以是厚度约100埃的氮化钽(TaN)、氮化钛(TiN)、氮化钨(WN)、钛/氮化钛(Ti/TiN)层,而层154可以是厚度约100埃的二氧化硅层。栅极结构156用的间隔物(spacer)可以是氮化硅。根据另一实施例,工艺200能包括在栅极结构156形成之后且层154和148沉积之前的预清洗(pre-clean)层118的上表面的步骤。在此实施例中,使用氢(H2)烘烤以减少会阻碍固化反应的原生氧化物(native oxide)。相对于传统工艺的氩气溅镀预清洗步骤,氢烘烤造成较少的损害。在清洗以及在约800至900℃气体流动的氢烘烤步骤之后,进行传统的固化工艺。另外,能使用氢氯酸(HCI)环境并在700℃下低温退火,以消除半导体晶片表面的锗。
应当理解,尽管以详细的附图、特定实施例及特定数值而提了供本发明的较佳例示性实施例,但仅是出于说明的目的。沟渠的形状及尺寸并非局限于所揭示的。本发明的方法及装置不限于所揭示的精确细节及条件。在不脱离由权利要求所定义的本发明的精神下,所揭示的内容可作许多改变。

Claims (7)

1.一种在含锗层的沟渠中形成衬垫的方法,该方法包括:
(a)选择性地蚀刻该含锗层以形成该沟渠;
(b)以低于700℃的温度使用紫外光臭氧工艺、原子层沉积工艺、高密度等离子氧化物沉积工艺或双频射频功率PECVD工艺在该沟渠中提供一层绝缘材料以形成该衬垫,该高密度等离子氧化物沉积工艺不使用NH3;以及
(c)退火该衬垫。
2.如权利要求1所述的方法,进一步包括:
步骤(a)之前,在该含锗层上形成氮化硅层,以及选择性地蚀刻该氮化硅层,以形成与该沟渠的位置相关的开孔。
3.如权利要求2所述的方法,进一步包括在该沟渠中提供绝缘材料,以形成沟渠隔离区域。
4.如权利要求1或2所述的方法,其中在该沟渠提供一层绝缘材料的步骤中,该紫外光臭氧工艺使用氧气环境。
5.如权利要求1或2所述的方法,其中在该沟渠中提供一层绝缘材料的步骤中,该原子层沉积工艺、不使用NH3的该高密度等离子氧化物沉积工艺或该双频射频功率PECVD工艺使用氧气环境和硅烷环境。
6.如权利要求1所述的方法,进一步包括:
步骤(a)之前,在该含锗层上提供硬掩膜层;在该硬掩膜层上提供光刻胶层;以光刻工艺选择性地去除在一位置处的该光刻胶层的部分;以及去除在该位置处的该硬掩膜层;
其中,步骤(a)在该位置下形成沟渠;以及
其中,步骤(b)使用通过该紫外光臭氧工艺、该原子层沉积工艺、不使用NH3的该高密度等离子氧化物沉积工艺或该双频射频功率PECVD工艺形成的氧化层在该沟渠中形成该衬垫。
7.如权利要求6所述的方法,进一步包括在提供硬掩膜层步骤之前,在设置在该含锗层上的应变硅层上提供垫氧化层。
CNB2004800021801A 2003-01-14 2004-01-13 沟渠隔离工艺及方法 Expired - Fee Related CN100477151C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/341,863 2003-01-14
US10/341,863 US7648886B2 (en) 2003-01-14 2003-01-14 Shallow trench isolation process

Publications (2)

Publication Number Publication Date
CN1739196A CN1739196A (zh) 2006-02-22
CN100477151C true CN100477151C (zh) 2009-04-08

Family

ID=32711606

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800021801A Expired - Fee Related CN100477151C (zh) 2003-01-14 2004-01-13 沟渠隔离工艺及方法

Country Status (6)

Country Link
US (1) US7648886B2 (zh)
EP (1) EP1584106A2 (zh)
JP (1) JP2006516362A (zh)
KR (1) KR20050088355A (zh)
CN (1) CN100477151C (zh)
WO (1) WO2004066368A2 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7422961B2 (en) * 2003-03-14 2008-09-09 Advanced Micro Devices, Inc. Method of forming isolation regions for integrated circuits
US7462549B2 (en) * 2004-01-12 2008-12-09 Advanced Micro Devices, Inc. Shallow trench isolation process and structure with minimized strained silicon consumption
US7439165B2 (en) 2005-04-06 2008-10-21 Agency For Sceince, Technology And Reasearch Method of fabricating tensile strained layers and compressive strain layers for a CMOS device
CN100483667C (zh) * 2006-08-10 2009-04-29 中芯国际集成电路制造(上海)有限公司 形成浅沟槽隔离结构的方法和浅沟槽隔离结构
US8293625B2 (en) 2011-01-19 2012-10-23 International Business Machines Corporation Structure and method for hard mask removal on an SOI substrate without using CMP process

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4666556A (en) 1986-05-12 1987-05-19 International Business Machines Corporation Trench sidewall isolation by polysilicon oxidation
JP3103385B2 (ja) 1991-01-25 2000-10-30 株式会社東芝 ポリシリコン薄膜半導体装置
KR920020676A (ko) 1991-04-09 1992-11-21 김광호 반도체 장치의 소자분리 방법
US5254873A (en) 1991-12-09 1993-10-19 Motorola, Inc. Trench structure having a germanium silicate region
US5266813A (en) * 1992-01-24 1993-11-30 International Business Machines Corporation Isolation technique for silicon germanium devices
DE59409300D1 (de) 1993-06-23 2000-05-31 Siemens Ag Verfahren zur Herstellung von einem Isolationsgraben in einem Substrat für Smart-Power-Technologien
US5406111A (en) 1994-03-04 1995-04-11 Motorola Inc. Protection device for an intergrated circuit and method of formation
JP3271453B2 (ja) 1994-12-28 2002-04-02 三菱電機株式会社 半導体装置における素子分離領域の形成方法
US5455194A (en) 1995-03-06 1995-10-03 Motorola Inc. Encapsulation method for localized oxidation of silicon with trench isolation
US5719085A (en) * 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
JP3376211B2 (ja) * 1996-05-29 2003-02-10 株式会社東芝 半導体装置、半導体基板の製造方法及び半導体装置の製造方法
US5793090A (en) 1997-01-10 1998-08-11 Advanced Micro Devices, Inc. Integrated circuit having multiple LDD and/or source/drain implant steps to enhance circuit performance
US5763315A (en) * 1997-01-28 1998-06-09 International Business Machines Corporation Shallow trench isolation with oxide-nitride/oxynitride liner
DE19713543B4 (de) * 1997-04-02 2007-01-11 Pharma-Zentrale Gmbh Bakterielle Plasmide
US5837612A (en) * 1997-08-01 1998-11-17 Motorola, Inc. Silicon chemical mechanical polish etch (CMP) stop for reduced trench fill erosion and method for formation
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US6306722B1 (en) 1999-05-03 2001-10-23 United Microelectronics Corp. Method for fabricating shallow trench isolation structure
US6013937A (en) 1997-09-26 2000-01-11 Siemens Aktiengesellshaft Buffer layer for improving control of layer thickness
US5882983A (en) * 1997-12-19 1999-03-16 Advanced Micro Devices, Inc. Trench isolation structure partially bound between a pair of low K dielectric structures
KR100248888B1 (ko) 1998-01-07 2000-03-15 윤종용 트랜치 격리의 형성 방법
JPH11233610A (ja) * 1998-02-17 1999-08-27 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100275908B1 (ko) 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6080618A (en) 1998-03-31 2000-06-27 Siemens Aktiengesellschaft Controllability of a buried device layer
US6214696B1 (en) 1998-04-22 2001-04-10 Texas Instruments - Acer Incorporated Method of fabricating deep-shallow trench isolation
US6168961B1 (en) 1998-05-21 2001-01-02 Memc Electronic Materials, Inc. Process for the preparation of epitaxial wafers for resistivity measurements
US6146970A (en) * 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6265282B1 (en) 1998-08-17 2001-07-24 Micron Technology, Inc. Process for making an isolation structure
WO2000016391A1 (en) * 1998-09-14 2000-03-23 Matsushita Electric Industrial Co., Ltd. Method for producing semiconductor device
US6074931A (en) * 1998-11-05 2000-06-13 Vanguard International Semiconductor Corporation Process for recess-free planarization of shallow trench isolation
US6080637A (en) * 1998-12-07 2000-06-27 Taiwan Semiconductor Manufacturing Company Shallow trench isolation technology to eliminate a kink effect
JP2000183155A (ja) * 1998-12-18 2000-06-30 Sony Corp 溝型素子分離領域の形成方法及び半導体装置の製造方法
US6548261B1 (en) 1998-12-30 2003-04-15 Case Western Reserve University Alzheimer model for drug screening
US6037238A (en) * 1999-01-04 2000-03-14 Vanguard International Semiconductor Corporation Process to reduce defect formation occurring during shallow trench isolation formation
US6271143B1 (en) 1999-05-06 2001-08-07 Motorola, Inc. Method for preventing trench fill erosion
TW413887B (en) * 1999-06-09 2000-12-01 Mosel Vitelic Inc Method for forming trench-type power metal oxide semiconductor field effect transistor
US6207531B1 (en) * 1999-07-02 2001-03-27 Promos Technologies, Inc. Shallow trench isolation using UV/O3 passivation prior to trench fill
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6150212A (en) 1999-07-22 2000-11-21 International Business Machines Corporation Shallow trench isolation method utilizing combination of spacer and fill
US6426278B1 (en) 1999-10-07 2002-07-30 International Business Machines Corporation Projection gas immersion laser dopant process (PGILD) fabrication of diffusion halos
US6245619B1 (en) * 2000-01-21 2001-06-12 International Business Machines Corporation Disposable-spacer damascene-gate process for SUB 0.05 μm MOS devices
EP1282911B1 (en) * 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6399512B1 (en) * 2000-06-15 2002-06-04 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit comprising an etch stop layer
US6365446B1 (en) 2000-07-03 2002-04-02 Chartered Semiconductor Manufacturing Ltd. Formation of silicided ultra-shallow junctions using implant through metal technology and laser annealing process
KR100375229B1 (ko) * 2000-07-10 2003-03-08 삼성전자주식회사 트렌치 소자분리 방법
US6468853B1 (en) 2000-08-18 2002-10-22 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a shallow trench isolation structure with reduced local oxide recess near corner
US6943078B1 (en) 2000-08-31 2005-09-13 Micron Technology, Inc. Method and structure for reducing leakage current in capacitors
US6391731B1 (en) 2001-02-15 2002-05-21 Chartered Semiconductor Manufacturing Ltd. Activating source and drain junctions and extensions using a single laser anneal
US6646322B2 (en) 2001-03-02 2003-11-11 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6456370B1 (en) 2001-03-29 2002-09-24 Fitel Usa Corp. Method of measuring bending loss with an optical time domain reflectometer
US6498383B2 (en) 2001-05-23 2002-12-24 International Business Machines Corporation Oxynitride shallow trench isolation and method of formation
EP1397832A2 (en) 2001-06-08 2004-03-17 Amberwave Systems Corporation Method for isolating semiconductor devices
US6548399B1 (en) * 2001-11-20 2003-04-15 Intel Corporation Method of forming a semiconductor device using a carbon doped oxide layer to control the chemical mechanical polishing of a dielectric layer
US6656749B1 (en) 2001-12-13 2003-12-02 Advanced Micro Devices, Inc. In-situ monitoring during laser thermal annealing
US6566228B1 (en) 2002-02-26 2003-05-20 International Business Machines Corporation Trench isolation processes using polysilicon-assisted fill
WO2003073055A1 (fr) * 2002-02-28 2003-09-04 Shin-Etsu Handotai Co., Ltd. Systeme de mesure de la temperature, dispositif de chauffage utilisant le systeme, procede de production d'une plaquette a semi-conducteurs, element translucide de protection contre les rayons calorifiques, element reflechissant la lumiere visible, miroir reflechissant utilisant un systeme d'exposition, dispositif a semi-co
US6613646B1 (en) * 2002-03-25 2003-09-02 Advanced Micro Devices, Inc. Methods for reduced trench isolation step height
US6548361B1 (en) 2002-05-15 2003-04-15 Advanced Micro Devices, Inc. SOI MOSFET and method of fabrication
JP4750342B2 (ja) 2002-07-03 2011-08-17 ルネサスエレクトロニクス株式会社 Mos−fetおよびその製造方法、並びに半導体装置
KR100464855B1 (ko) * 2002-07-26 2005-01-06 삼성전자주식회사 박막 형성 방법과, 이를 이용한 커패시터 형성 방법 및트랜지스터 형성 방법
US6759702B2 (en) * 2002-09-30 2004-07-06 International Business Machines Corporation Memory cell with vertical transistor and trench capacitor with reduced burried strap
US6706581B1 (en) 2002-10-29 2004-03-16 Taiwan Semiconductor Manufacturing Company Dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
US6888214B2 (en) * 2002-11-12 2005-05-03 Micron Technology, Inc. Isolation techniques for reducing dark current in CMOS image sensors
US6673696B1 (en) 2003-01-14 2004-01-06 Advanced Micro Devices, Inc. Post trench fill oxidation process for strained silicon processes

Also Published As

Publication number Publication date
US7648886B2 (en) 2010-01-19
CN1739196A (zh) 2006-02-22
KR20050088355A (ko) 2005-09-05
US20040137742A1 (en) 2004-07-15
EP1584106A2 (en) 2005-10-12
WO2004066368A3 (en) 2004-09-30
JP2006516362A (ja) 2006-06-29
WO2004066368A2 (en) 2004-08-05

Similar Documents

Publication Publication Date Title
US7713834B2 (en) Method of forming isolation regions for integrated circuits
KR100741238B1 (ko) 회로 디바이스를 포함하는 장치 및 그 장치의 제조 방법
JP5350815B2 (ja) 半導体装置
US6902991B2 (en) Semiconductor device having a thick strained silicon layer and method of its formation
US7485929B2 (en) Semiconductor-on-insulator (SOI) strained active areas
US7238588B2 (en) Silicon buffered shallow trench isolation
JP2006512766A (ja) 厚い歪みシリコン層を形成する方法、および厚い歪みシリコン層を組み込んだ半導体構造
US20080213952A1 (en) Shallow trench isolation process and structure with minimized strained silicon consumption
US7425488B2 (en) Method and structure using a pure silicon dioxide hardmask for gate patterning for strained silicon MOS transistors
US6673696B1 (en) Post trench fill oxidation process for strained silicon processes
KR20060005259A (ko) 전체실리사이드 금속게이트전극을 갖는 모스 트랜지스터의제조방법
US20050217566A1 (en) Method for producing one or more monocrystalline layers, each with a different lattice structure, on one plane of a series of layers
CN100477151C (zh) 沟渠隔离工艺及方法
US20070066023A1 (en) Method to form a device on a soi substrate
US7547605B2 (en) Microelectronic device and a method for its manufacture
JP4070876B2 (ja) Cmos回路の製造方法
JP2002025972A (ja) 半導体装置の製造方法
US6962857B1 (en) Shallow trench isolation process using oxide deposition and anneal
TW552671B (en) Formation method of shallow trench isolation structure with Si/SiGe substrate
JPH09321294A (ja) 半導体装置の製造方法
TW200839887A (en) Method of manufacturing semiconductor MOS transistor devices
KR20090097334A (ko) 박막 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: GLOBALFOUNDRIES SEMICONDUCTORS CO., LTD

Free format text: FORMER OWNER: ADVANCED MICRO DEVICES CORPORATION

Effective date: 20100721

C41 Transfer of patent application or patent right or utility model
COR Change of bibliographic data

Free format text: CORRECT: ADDRESS; FROM: CALIFORNIA STATE, THE USA TO: GRAND CAYMAN ISLAND, BRITISH CAYMAN ISLANDS

TR01 Transfer of patent right

Effective date of registration: 20100721

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES Inc.

Address before: California, USA

Patentee before: ADVANCED MICRO DEVICES, Inc.

TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20210223

Address after: California, USA

Patentee after: Lattice chip (USA) integrated circuit technology Co.,Ltd.

Address before: Greater Cayman Islands, British Cayman Islands

Patentee before: GLOBALFOUNDRIES Inc.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090408

Termination date: 20220113

CF01 Termination of patent right due to non-payment of annual fee