JP2006512766A - 厚い歪みシリコン層を形成する方法、および厚い歪みシリコン層を組み込んだ半導体構造 - Google Patents

厚い歪みシリコン層を形成する方法、および厚い歪みシリコン層を組み込んだ半導体構造 Download PDF

Info

Publication number
JP2006512766A
JP2006512766A JP2004564839A JP2004564839A JP2006512766A JP 2006512766 A JP2006512766 A JP 2006512766A JP 2004564839 A JP2004564839 A JP 2004564839A JP 2004564839 A JP2004564839 A JP 2004564839A JP 2006512766 A JP2006512766 A JP 2006512766A
Authority
JP
Japan
Prior art keywords
layer
silicon
strained silicon
silicon germanium
strained
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004564839A
Other languages
English (en)
Other versions
JP2006512766A5 (ja
Inventor
ワン ハイホン
アール. ベセル ポール
グー ジャン−サク
バン エヌジーオー ミン
エヌ. ペイトン エリック
シャン キ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2006512766A publication Critical patent/JP2006512766A/ja
Publication of JP2006512766A5 publication Critical patent/JP2006512766A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/0251Graded layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Abstract

シリコンゲルマニウム層(40)上に歪みシリコン層(50)を成長させ、歪みシリコン層(50)上にシリコンゲルマニウム層(52)を歪みシリコンに対して単一で連続的な原位置におけるたい積プロセスで成長させる。浅いトレンチ分離(48)を、歪みシリコン層(50)を成長させる前に下側のシリコンゲルマニウム層(40)中に形成する。この2つのシリコンゲルマニウム層(40)(52)は、歪みシリコン層(50)の引張歪みを維持するとともに、処理中の温度変化により生じ得るミスフィット転位の形成を抑制するように働く、歪みシリコン層の両面における2層基板を効果的に提供する。したがって、後の処理中に著しいミスフィット転位が生じることなく成長させることができる歪みシリコン(50)の臨界膜厚は、事実上、シリコンゲルマニウム層(40)(52)のゲルマニウム量の2倍となる。歪みシリコン層(50)を形成する前に浅いトレンチ分離(48)を形成することにより、歪みシリコン層(50)が極端な熱応力を受けないようにし、さらにミスフィット転位の形成を減少する。

Description

本発明は半導体集積回路に関し、より詳しくは歪みシリコンを組込んだ半導体デバイスに関する。
電子デバイスにおける性能の改善が、個々の半導体回路部品の大きさのリダクションに向けられた、シリコン処理およびデバイス技術における進歩を通じて継続的に求められてきた。
しかしながら、経済的・物理的な制約により、デバイスを継続的に縮小することがより難しいので、デバイス性能を継続的に高めることができる代替的な解決策が求められている。
MOSFETの性能を高めるための選択肢の1つは、抵抗と電力消費を下げるとともに、駆動電流、周波数レスポンスおよび処理速度を上げるべく、シリコンのキャリア移動度を向上させることである。
近年注目されているキャリア移動度を向上させる方法では、引張歪みを適用するシリコン材料が使用されている。
歪みシリコン(Strained Silicon)は、シリコンゲルマニウム基板上のシリコン層を成長させることにより形成することができる。このシリコンゲルマニウム格子は一般に、格子中により大きなゲルマニウム原子が存在する結果、純粋なシリコン格子よりも広く間隔をあけて配置されることとなる。
シリコン格子の原子がより広く広がるシリコンゲルマニウム格子と整合させられている(aligns with)ので、引張歪みがシリコン層に生成される。実質的には、シリコン原子は互いに引き離される。
シリコン格子に与えられる引張り歪みの量は、シリコンゲルマニウム格子中のゲルマニウムの割合に伴い増加する。
緩和シリコン(relaxed silicon)は、6つの等しい価電子帯を含む導電帯を有する。シリコンに引張歪みを与えることは、6つの価電子帯のうちの4つの価電子帯のエネルギーを増加させると共に、2つの価電子帯のエネルギーを減少させる。量子効果の結果、より低いエネルギーバンドを電子が通り抜ける際、その重さが事実上30パーセント以下に減少する(weigh 30 percent less)。このように、より低いエネルギーバンドは電子の流れに与える抵抗がより少ない。
さらに、シリコン原子の核から電子が受ける振動エネルギーはより少ない。このことは、500回から1000回の割合で(この割合は緩和シリコン中におけるよりも少ない。)電子を分散させる。
したがって、歪みシリコン中におけるキャリア移動度は、緩和シリコンと比較して劇的に上昇し、電子について80%以上、正孔(hole)について20%以上の移動度を潜在的に上昇させる。
1.5メガボルト/センチメートルの電界まで、移動度が上昇し続けることが分かっている。これらの要因は、デバイスサイズをさらに縮小させることなくデバイス速度を35%増加させることができ、または性能を低下させることなく電力消費を25%減少させることができると考えられる。
歪みシリコン層を使用するMOSFETの一例を図1に示す。
MOSFETは、その上に歪みシリコン12のエピタキシャル層が形成されるシリコンゲルマニウム層10を含む基板上に製造される。
MOSFETは、深いソースおよびドレイン領域14を含む従来のMOSFET構造、浅いソースおよびドレイン拡張部16、ゲート酸化層18、スペーサ22、24によって囲まれたゲート20、シリサイド・ソースおよびドレインコンタクト26、シリサイドゲート・コンタクト28、浅いトレンチ分離30を含んだ従来のMOSFET構造を使用する。
このMOSFETのチャネル領域は歪みシリコン材料を含んでおり、これによりソースおよびドレインの間のキャリア移動度を向上させることができる。
歪みシリコンの理論的な利点が期待される一方で、歪みシリコンの製造および処理は多くの問題を呈する。問題の1つは、温度変化の結果、歪みシリコンに「ミスフィット転位」が形成されることである。このミスフィット転位は、シリコン格子に与えた歪みを事実上緩和する(release)、シリコン格子中の転位である。
ミスフィット転位は、主に、歪みシリコン格子と下にあるシリコンゲルマニウム支持層の格子との間のずれによって引き起こされる。歪みシリコン層中のミスフィット転位の量は、温度ファクタの結果として増加し得る。
温度要因によりミスフィット転位が引き起こされる可能性がある一例は、歪みシリコン層をたい積した後の冷却期間中である。ミスフィット転位が生じる可能性がある他の一例は、浅いトレンチ分離の形成時のように、例えば1000℃以上の高温に晒される間である。
このような高温は、シリコンゲルマニウム基板のゲルマニウム量(germanium content)を消耗させることとなり、重なる歪みシリコン中にミスフィット転位を形成することとなると考えられている。
ミスフィット転位が形成される割合は、温度が上昇するにつれて指数関数的にあがる。
歪みシリコン層が臨界膜厚を有しており、この臨界膜厚を上回るとミスフィット転位はより生じやすくなるということが分かっている。
この臨界膜厚は、シリコン格子に与えられる引っ張り歪みの量、および下にあるシリコンゲルマニウム層のゲルマニウム量による。
例えば、約20%のゲルマニウム量を有するシリコンゲルマニウム層は、ミスフィット転位が生じるおそれなく約200Åの臨界膜厚を維持(サポート)することができるのに対し、約30%のゲルマニウム量を有するシリコンゲルマニウム層は、約80Åの臨界膜厚しか維持することができないことが分かっている。
したがって、現状の歪みシリコン技術をMOSFET設計に適用することは、相反する制限により困難である。下にある層のゲルマニウム量の増加によって歪みシリコンキャリア移動度が向上させられる一方で歪みシリコンの臨界膜厚は下にある層のゲルマニウム量の増加によって減少してしまうからである。これらの矛盾は、実用化を難しくする。
例えば、MOSFETの性能を効果的に改良するには、約70Åの歪みシリコンが必要とされることが経験的に分かっている。
しかしながら、処理中におけるシリコンの消費を考慮に入れて、その厚みの約2倍の層をまず形成しなければならない。また、このような厚みの層におけるミスフィット転位を回避すべく、下にある層のゲルマニウム量を約20%に制限しなければならない。
これにより歪みシリコン層に与えられる歪みは、ホール移動度に比較的わずかな効果しかないことが分かった。このため、PMOSデバイスにおける歪みシリコンを効果的に適用することが難しい。
以上に加え、浅いトレンチ分離を形成する際のような処理中に一般的に起こる、温度の上昇および下降の双方により引き起こされるミスフィット転位の形成によって、歪みシリコン層の引張歪みが低下するとともに、キャリア移動度がさらに低下する可能性がある。
したがって、歪みシリコン技術の制限的ファクタ(limiting factors)が、いくつかの用途において限られたキャリア移動度をあげるようにバランスを保つことができるが、近年の技術は、移動度を低下させるという欠陥なく、また歪みを緩和させることなく、実効的にキャリア移動度を増加させるのに充分な歪みを与える方法を提供していない。
したがって、本発明の目的は、従来の臨界膜厚よりずっと厚い膜厚を有しているが、従来の臨界膜厚よりずっと厚い膜厚を有している歪みシリコン層の特徴であるミスフィット転位量を有しない歪みシリコン層を提供することである。
本発明の他の目的は、従来の臨界膜厚よりずっと厚い膜厚を有する歪みシリコン層を組み込んだMOSFETデバイスを提供することである。
本発明の実施形態によれば、浅いトレンチ分離を、シリコンゲルマニウム層上に歪みシリコン層を成長させる前にシリコンゲルマニウム層中に形成する。
続いて、歪みシリコン層をシリコンゲルマニウム層上に製造し、単一の連続的な原位置の(in situ)たい積プロセスで第2シリコンゲルマニウム層を歪みシリコン層上に形成する。
この構造は、歪みシリコン層の引張歪みを維持するとともにミスフィット転位の形成を抑制するように、歪みシリコン層の両面に効果的に2層基板(dual substrate)を提供する。
したがって、後に行う加熱および冷却の結果、著しいミスフィット転位が生じる実質的なリスクがなく成長させることができる歪みシリコンの厚みは、事実上、シリコンゲルマニウム層のゲルマニウム量の2倍となる。
本発明の実施形態の一例によれば、歪みシリコン層を2層シリコンゲルマニウム層間に形成する。
まず、第1シリコンゲルマニウム層を有する基板を提供する。その後、歪みシリコン層を第1シリコンゲルマニウム層上に形成し、歪みシリコン層上に第2シリコンゲルマニウム層を、単一の連続的な原位置のたい積プロセスで形成する。
第1および第2シリコンゲルマニウム層は、歪みシリコン層の引張歪みを維持し、後に歪みシリコン層を冷却および加熱する間、ミスフィット転位が形成されるのを抑制する。
本発明のさらなる実施形態によれば、半導体構造は、第1シリコンゲルマニウム層、この第1シリコンゲルマニウム層上に成長させた歪みシリコン層、およびこの歪みシリコン層上に成長させた第2シリコンゲルマニウム層を含んでいる。
この第1および第2シリコンゲルマニウム層は、歪みシリコン層の引張歪みを維持し、歪みシリコン層中にミスフィット転位が形成されるのを抑制する。
本発明のさらなる実施形態によれば、厚い歪みシリコン層を含んだ半導体デバイスを形成する。まず、第1シリコンゲルマニウム層を有する基板を提供する。この第1シリコンゲルマニウム層の中に浅いトレンチ分離を形成する。
その後、第1シリコンゲルマニウム層上に歪みシリコン層を形成する。また、第2シリコンゲルマニウム層を、歪みシリコンに対して単一で連続的な原位置におけるたい積プロセスで形成する。
第1および第2シリコンゲルマニウム層は、歪みシリコン層の引張歪みを維持し、後に歪みシリコン層を冷却および加熱する間、歪みシリコン層中にミスフィット転位が形成されるのを抑制する。
その後、第2シリコンゲルマニウム層を除去し、歪みシリコン層を含んだMOSFETを浅いトレンチ分離の間に形成する。
本発明の他の実施例によれば、MOSFETは、チャネル領域、このチャネル領域上に形成されたゲート絶縁膜、このゲート絶縁膜上に形成したゲート、およびチャネル領域の両側に位置するソースおよびドレイン領域を含んでいる。
少なくともMOSFETのチャネル領域は、その上に歪みシリコン層が形成されるシリコンゲルマニウム層のゲルマニウム量によって決定された臨界膜厚よりも厚い、歪みシリコン層からなる。
本発明の実施形態は、図面と関連付けて記載される。
本発明の好ましい実施形態の一例により、歪みシリコンMOSFETを製造する間の構造を図2aないし図2Lに示す。図2aは、シリコンゲルマニウム層40を含んだ構造を示す。このシリコンゲルマニウム層40は、組成Si1-xGexを有していることが好ましい。このxは約0.3、より一般的には0.1から0.4の範囲にある。
このシリコンゲルマニウム層40は、一般的に、図示しないシリコンウェーハ上に成長し、このシリコンウェーハにより支持される。
例えば、供給ガスとしてSi2H6(ジシラン)(disilane)およびGeH4(ゲルマン)(germane)を使用する化学蒸着法(600℃から900℃の基板温度、ジシラン分圧は30mPa、ゲルマン分圧は60mPaを使用する。)によって、シリコンゲルマニウムを成長させてもよい。代替的なプロセスにおいてSiH4(シラン)を使用してもよい。
これらの定量を使用して開始するか、その代わりに、後に形成される歪みシリコン層との接合部を形成する上側の部分において、所望のゲルマニウム量(例えば30%)を有する傾斜的な組成を形成すべく、ゲルマンの分圧を低い圧力または圧力0から徐々に増加するようにして、シリコンゲルマニウム層40を成長させてもよい。
底部の反射防止膜(BARC)とも呼ばれる底部のハードマスク層42および上部のハードマスク層44を含んだ両層のハードマスク構造がシリコンゲルマニウム層40上に形成される。
底部のハードマスク層42は一般的には酸化シリコン(例えばSiO2)であり、上部のハードマスク層44は一般的には窒化ケイ素(例えばSi3N4)である。
図2bは、テーパ状のサイドウォールを有するトレンチ46を形成するために、両層のハードマスクを使用してシリコンゲルマニウム層40をパターン化した後の図2aの構造を示している。
図2cは、シリコンゲルマニウム層40からの両層ハードマスク材料を除去し、その後トレンチ中に浅いトレンチ分離48を形成した後の図2bの構造を示している。
この浅いトレンチ分離は、シリコンゲルマニウムの短い熱酸化を実行し、その後、例えば低圧のCVD(LPCVD)TEOSまたは大気圧オゾンTEOS(atmospheric pressure ozone TEOS)によってこのトレンチを充てんするのに充分な厚みまで酸化シリコン層をたい積することにより形成することができる。
その後、酸化シリコン層は、浅いトレンチ分離48がシリコンゲルマニウム層40の表面と略同じ高さになるように、例えば化学的機械的研磨またはエッチバックプロセスによって高密度化され、プレーナ化される。
浅いトレンチ分離48を形成する際に含まれる一般的なプロセスにおいて、1000℃を越えた温度を利用することができる。
歪みシリコンを形成する前に浅いトレンチ分離48を形成することによって、歪みシリコンがこのような高温に晒されることがない。したがって、ミスフィット転位の潜在的な原因としての浅いトレンチ分離処理が除去される。
図2dは、シリコンゲルマニウム層40の表面から材料を除去すべくエッチバックプロセスを実行した後の、図2cの構造を示す。
図2eは、シリコンゲルマニウム40上に歪みシリコン層50を形成し、歪みシリコン50層を被覆するシリコンゲルマニウムの上方層52を形成した後の、図2dの構造を示す。
図2dの構造を生成するエッチ・バックは、浅いトレンチ分離48の上表面よりもシリコンゲルマニウム層40の上表面が低くなるように、シリコンゲルマニウム層40の一部を除去すべく実行される。その結果、浅いトレンチ分離48の上表面が後に形成される上側のシリコンゲルマニウム層52の上表面と略同じ高さになる。しかしながら、この除去量は変えることができる。
歪みシリコン層50は、好ましくは、供給ガスとして例えばSi2H6を使用する化学蒸着法(CVD)(だいたい600℃から900℃の基板温度、分圧30mPaを使用する。)による選択的エピタキシャル成長によって成長させることができる。
好ましくは上側のシリコンゲルマニウム層52を形成する前に歪みシリコン層50を最小限に加熱または冷却する、単一の連続的な原位置における(in situ)プロセスで、この歪みシリコン層50および上側のシリコンゲルマニウム層52を成長させる。
これは、歪みシリコンを所望の厚みに成長させた後、歪みシリコン層の温度を著しく変化させることなく、たい積した材料の組成(composition)をシリコンから所望のゲルマニウム量を有するシリコンゲルマニウムに変化させるために、たい積チャンバ中にゲルマニウム供給ガス(例えば、ゲルマン)を導入することにより達成することができる。
また、温度変化が生じるのに伴い、歪みシリコン層を維持するのに適切な量のシリコンゲルマニウムが歪みシリコン層の上部表面に存在するように、歪みシリコン層上に成長させるシリコンゲルマニウムの厚みを考慮に入れた方法で、最適な歪みシリコンたい積温度と最適なシリコンゲルマニウムたい積温度の間の温度の傾斜を緩やかにすることが望ましい。
上側のシリコンゲルマニウム層52は、歪みシリコン層50中にできた引張歪みを最大限に維持するように、歪みシリコン層50との接合部において下側のシリコンゲルマニウム層40と同じゲルマニウム量(例えば約30%)を有するように形成されるが、所望によりその後徐々に変化させてもよい。
この上側のシリコンゲルマニウム層52の厚みは、特定の用途により決定することができる。
上述のように、約30%のゲルマニウム量を有するシリコンゲルマニウム層は、冷却または後の熱処理の間に著しいミスフィット転位が生じるリスクなく約80Åの歪みシリコン層を支持することができる。
これに対して、この好ましい実施形態の歪みシリコン層50は、それぞれ約30%のゲルマニウム量を有する下側および上側のシリコンゲルマニウム層40、52によってその両面が支持されている。
したがって、歪みシリコン層50は、冷却または後の熱処理の間に著しいミスフィット転位が生じるリスクなく、約80Åよりずっと厚く(一般的に140Åより厚く、また、潜在的に約160Å程度に)形成することができる。
図2fは、シリコンゲルマニウムの第2層を除去し、いくつかの異なる材料からなる層を形成した後の図2eの構造を示している。
上側のシリコンゲルマニウム層は、シリコンと比べてシリコンゲルマニウムについて非常に高いエッチング選択性を有する選択的なウェットエッチングによって除去することができる。
代替的に、シリコンゲルマニウムを、例えばホット・スチームを使用して高度に制御可能な酸化プロセスを通じて酸化し、その後HF溶液中で除去してもよい。
さらなる処理の前の冷却の間における上側のシリコンゲルマニウム層の存在は、冷却中にミスフィット転位が形成されないような耐性をさらに高める。
形成される材料の層は、歪みシリコン層50上に形成されるゲート絶縁層54を含む。このゲート絶縁層54は一般的には酸化シリコンであるが、酸化物−窒化物−酸化物(ONO)のような他の材料であってもよい。
酸化物は、歪みシリコン層の熱酸化によって成長させることもできるし、または化学蒸着法によってたい積することもできる。ゲート導電層56をゲート絶縁層54上に形成する。
このゲート導電層56は一般的にはポリシリコンを含むが、代替的に、ゲルマニウムが注入されたポリシリコンのような他の材料を含んでいてもよい。
ゲート導電層56は、下側の反射防止膜(BARC)とも呼ばれる下側のハードマスク層58と、上側のハードマスク層60とを含む両層ハードマスク構造により被覆される。
下側のハードマスク層58は、一般的には酸化シリコン(例えばSiO2)である。また、上側のハードマスク層60は、一般的に窒化ケイ素(例えばSi3N4)である。
図2gは、ゲート62およびセルフアラインされたゲート絶縁体64を形成するために、ゲート導電層およびゲート絶縁層をパターニングした後の構造を示している。
パターニングは、エッチングマスクとしてフォトレジストマスクを使用して上側のハードマスク層をパターン化し、次に、エッチングマスクとしてパターン化された上側のハードマスク層を使用して下側のハードマスク層をパターン化し、次に、エッチングマスクとしてパターン化された下側のハードマスク層を使用してゲート導電層をパターン化し、その後ハードマスクとしてゲート62を使用してゲート絶縁層をパターン化する、一連の異方性エッチングを使用して行なわれる。
図2hは、ゲート62およびゲート絶縁膜64の周りに薄い第1ゲートスペーサ66を形成した後の図2gの構造を示している。
薄い第1ゲートスペーサ60は、保護材料からなる共形層をたい積し、その後、ゲート62およびゲート絶縁膜64の周りに形成された薄い第1ゲートスペーサ66を残すべく、垂直でない面から保護材料を除去するために異方性エッチングを実行することによって好適に形成される。
薄い第1ゲートスペーサ66は、好ましくは、酸化シリコンまたは窒化ケイ素からなる。
図2iは、チャネル領域の両側において歪みシリコン層50中に浅いソースおよびドレイン拡張部68を形成するようにドーパントを注入した後の図2hの構造を示している。
ハロー領域(図示しない)を、浅いソースおよびドレイン拡張部を注入する前に注入してもよい。ハロー領域は、ソースおよびドレイン拡張部68のドーパントと反対のタイプのドーパントでドープされた領域である。
このハロー領域は、ソースおよびドレイン拡張部68の端部における空乏領域を短くすることによって、ショートチャネル「パンチ・スルー」効果を抑制できるようにする。
このハロー領域は、好ましくは、ハロー領域がゲート62の下において、アニーリングの後のソースおよびドレイン拡張部68の端部の予想される場所の下まで広がるように、基板の表面に対する小さな角度を持つ低エネルギーイオン注入される。
ソースおよびドレイン拡張部68のように、このハロー領域はチャネル領域の両側に形成され、ソースおよびドレイン拡張部が形成されるべき端部の下のチャンネル領域の方に拡張する。
図2jは、歪みシリコン50およびシリコンゲルマニウム層40中に深いソースおよびドレイン領域72を形成すべく、ゲート62および薄い第1スペーサ66の周囲に第2スペーサ70を形成し、その後ドーパントを注入した後の図2iの構造を示している。
MOSFETのチャネル領域に関するソースおよびドレイン領域72の位置を決定すべく、第2スペーサ70は、深いソースおよびドレイン領域72を注入する間、インプラント・マスクとしての役割を果たす。
深いソースおよびドレイン領域72の深さは、歪みシリコン層50を越えてより下にあるシリコンゲルマニウム層40中に広がる。
図2kは、歪みシリコン層50およびシリコンゲルマニウム層40をアニーリングするとともに浅いソースおよびドレイン拡張部68および深いソースおよびドレイン領域72に注入されたドーパントを活性化すべく、急速熱アニーリング(RTA)を実行した後の、図2jの構造を示している。
注入したドーパントがアニーリング中に歪みシリコン層50およびシリコンゲルマニウム層40中に拡散することがある。
図2Lは、ソースおよびドレイン領域72上にシリサイドコンタクト74を形成するとともにゲート62上にシリサイドコンタクト76を形成した後の図2kの構造を示している。
このシリサイドコンタクトは、半導体と金属とを含む化合物(compound)からなる。典型的には、コバルト(Co)のような金属が使用されるが、ニッケル(Ni)のような他の金属が使用されてもよい。このシリサイドコンタクトは、基板上に金属の薄い共形層をたい積し、その後、金属と下にある半導体との間のコンタクトの部分においてシリサイド化合物の形成を促進すべくアニーリングし、それから余った金属を除去することにより形成される。
図2aないし図2Lの実施形態の代替例を、図3に示す。図3の実施形態は、図2cの構造を生成するために図2cのシリコンゲルマニウム層上で実行されるエッチ・バック処理が省略される点で、図2aないし図2Lの実施形態と異なる。
その結果、下側のシリコンゲルマニウム層40の上面は、浅いトレンチ分離48の上面とほぼ同じ高さとなる。また、その後、シリコンゲルマニウム層40上に選択的な成長によって形成される歪みシリコン層50が、狭いトレンチ分離48の上面の上に拡張する。
選択的な成長プロセスが使用されるので、シリコン酸化物の狭いトレンチ分離48上にはシリコン成長はほとんどない。
したがって、狭いトレンチ分離48の上の領域には何もないままであり、BPSGのような保護層が適用される場合、後のプロセスにおいて充てんされる。
このように、デバイス構造は図2aないし図2Lの実施形態には制限されない。
図4は、図2aないし図2Lの好ましい実施形態、上述した代替的な実施形態および他の代替例を含んだ歪みシリコン層を形成するためのプロセス・フローを示している。
まず最初に、基板を提供する(ステップ80)。この基板は、第1シリコンゲルマニウム層を含んでいる。その後、第1シリコンゲルマニウム層上に歪みシリコン層を形成する(ステップ82)。歪みシリコン層は、第1シリコンゲルマニウム層のゲルマニウム量によって決定される従来の臨界膜厚よりも厚いことが好ましい。
その後、第2シリコンゲルマニウム層を、歪みシリコンに対して単一で連続的な原位置におけるたい積プロセスで形成する(ステップ84)。
第1および第2シリコンゲルマニウム層は、歪みシリコン層の引張歪みを維持し、歪みシリコン層が臨界膜厚よりも厚い箇所における歪みシリコン層中におけるミスフィット転位の形成をも抑制する。
図5は、図2aないし図2Lの好ましい実施形態、図3の代替的な実施形態およびその他の代替例を含んだ半導体デバイスを形成するプロセス・フローを示す。
まず最初に、基板を提供する(ステップ90)。この基板は、第1シリコンゲルマニウム層を含んでいる。その後、このシリコンゲルマニウム層中に浅いトレンチ分離を形成する(ステップ92)。
その後、歪みシリコン層を第1シリコンゲルマニウム層上に形成し(ステップ94)、歪みシリコンに対して単一で連続的な原位置におけるたい積プロセスで第2シリコンゲルマニウム層を形成する(ステップ96)。
歪みシリコン層を形成する前に、エッチバック工程を第1シリコンゲルマニウム層上で実行してもよい。
その後、第2シリコンゲルマニウム層を除去し(ステップ98)、歪みシリコン層を含んだMOSFETを浅いトレンチ分離(100)の間に形成する。
さらなる実施形態によれば、追加の構造を実行することが望ましい場合もある。
ある実施形態の一例では、酸化物、または酸化物−窒化物−酸化物のゲート絶縁層の代わりに、下側のシリコンゲルマニウム層の格子定数と同等の格子定数を有し、これにより歪みシリコン層の中の引張歪みをより維持できる、結晶性の(crystalline)高誘電率の(high-k)絶縁層を使用する。
例えば、20%のシリコンゲルマニウム層については、結晶性のDyScO3またはGaScO3のゲート絶縁層が適切な格子を提供する。
このような結晶は、分子線エピタキシー(MBE)によって成長させることができる。
さらなる実施形態においては、結晶性の高誘電率の絶縁層(dielectric layer)をSOI構造中の絶縁基板として使用してもよいし、またこのような構造中のゲート絶縁材料として使用してもよい。
上記プロセスに記載されたタスクから他のタスクが必ずしも除外されていないことは当業者に明白であろう。しかしながら、形成されるべき特定の構成にしたがって、上記のプロセスにさらなるタスクをさらに組み入れてもよい。
例えば処理タスク間の保護膜(passivation layer)または保護層(protective layer)の形成および除去、フォトレジストマスクおよび他のマスキング層の形成および除去、ドーピングおよび反ドーピング、洗浄、プレーナ化、および他のタスクのような中間の処理タスクを、特に上述のタスクと共に実行してもよい。
さらに、全体的なウェーハのように基板全面上においてこのプロセスを実行する必要はないが、基板の一部分上において選択的に実行してもよい。
このように、現在では図に示したような上述の実施形態が好ましいが、これらの実施形態は単なる一例として記載されていることを理解すべきである。
本発明は特定の実施形態に限られず、それどころか、請求の範囲およびこの均等の範囲内にある、様々な修正例、組み合わせおよび置換に及ぶ。
従来の方法に従って形成された歪みシリコン層を使用したMOSFETを示す図。 本発明の好ましい第1実施形態に従ってMOSFETを製造する間に形成された構造を示す図。 本発明の好ましい第1実施形態に従ってMOSFETを製造する間に形成された構造を示す図。 本発明の好ましい第1実施形態に従ってMOSFETを製造する間に形成された構造を示す図。 本発明の好ましい第1実施形態に従ってMOSFETを製造する間に形成された構造を示す図。 本発明の好ましい第1実施形態に従ってMOSFETを製造する間に形成された構造を示す図。 本発明の好ましい第1実施形態に従ってMOSFETを製造する間に形成された構造を示す図。 本発明の好ましい第1実施形態に従ってMOSFETを製造する間に形成された構造を示す図。 本発明の好ましい第1実施形態に従ってMOSFETを製造する間に形成された構造を示す図。 本発明の好ましい第1実施形態に従ってMOSFETを製造する間に形成された構造を示す図。 本発明の好ましい第1実施形態に従ってMOSFETを製造する間に形成された構造を示す図。 本発明の好ましい第1実施形態に従ってMOSFETを製造する間に形成された構造を示す図。 本発明の好ましい第1実施形態に従ってMOSFETを製造する間に形成された構造を示す図。 代替的な実施形態に従って形成された構造を示す図。 好ましい第1実施形態および代替的な実施形態を含むプロセス・フローを示す図。 好ましい第1実施形態および代替的な実施形態を含むプロセス・フローを示す図。

Claims (10)

  1. 第1シリコンゲルマニウム層(40)を含む基板を提供するステップと、
    前記第1シリコンゲルマニウム層(40)上に歪みシリコン層(50)を形成するステップと、
    前記歪みシリコン層(50)上に第2シリコンゲルマニウム層(52)を、前記歪みシリコン層(50)に関して単一の連続的な原位置のたい積プロセスで形成するステップと、を含み、
    前記第1シリコンゲルマニウム層(40)および第2シリコンゲルマニウム層(52)は、前記歪みシリコン層(50)の引張歪みを維持し、前記歪みシリコン層(50)中にミスフィット転位が形成されるのを抑制する、
    歪みシリコン層を形成する方法。
  2. 前記第1シリコンゲルマニウム層(40)は、組成Si1-xGexを有しており、前記xは0.1から0.4の範囲にある、請求項1記載の方法。
  3. 前記歪みシリコン層(50)は、前記第1シリコンゲルマニウム層(40)のゲルマニウム量によって決定される臨界膜厚よりも厚い、請求項1記載の方法。
  4. 第1シリコンゲルマニウム層(40)を含む基板を提供するステップと、
    前記第1シリコンゲルマニウム層(40)中に浅いトレンチ分離(48)を形成するステップと、
    前記第1シリコンゲルマニウム層(40)上に歪みシリコン層(50)を形成するステップと、
    前記歪みシリコン層(50)上に第2シリコンゲルマニウム層(52)を、前記歪みシリコン層(50)に関して単一の連続的な原位置のたい積プロセスで形成するステップと、を含み、
    前記第1シリコンゲルマニウム層(40)および第2シリコンゲルマニウム層(52)は、前記歪みシリコン層(50)の引張歪みを維持し、前記歪みシリコン層(50)中にミスフィット転位が形成されるのを抑制する、
    半導体デバイスを形成する方法。
  5. 前記歪みシリコン層(50)は、前記第1シリコンゲルマニウム層(40)のゲルマニウム量によって決定される臨界膜厚よりも厚い、請求項4記載の方法。
  6. 前記第2シリコンゲルマニウム層(52)を除去するステップと、
    前記浅いトレンチ分離(48)の間の前記歪みシリコン層(50)を含むMOSFETを形成するステップとをさらに含む、請求項4記載の方法。
  7. 前記歪みシリコン層(50)を形成する前に、前記浅いトレンチ分離(48)の上表面よりも前記第1シリコンゲルマニウム層(40)の上表面が低くなるように、前記シリコンゲルマニウム層(40)の一部を除去する、請求項4記載の方法。
  8. 第1シリコンゲルマニウム層(40)と、
    この第1シリコンゲルマニウム層(40)上に成長させた歪みシリコン層(50)と、
    この歪みシリコン層(50)上に成長させた第2シリコンゲルマニウム層(52)と、を含み、
    前記第1シリコンゲルマニウム層(40)と前記第2シリコンゲルマニウム層(52)は、前記歪みシリコン層(50)中の引張歪みを維持し、前記歪みシリコン層(50)中にミスフィット転位が形成されるのを抑制する、
    半導体構造。
  9. 前記歪みシリコン層(50)は、前記第1シリコンゲルマニウム層(40)のゲルマニウム量によって決定される臨界膜厚よりも厚い、請求項8記載の構造。
  10. 前記第2シリコンゲルマニウム層(52)と前記歪みシリコン層(50)とを貫通して前記第1シリコンゲルマニウム層(40)中に広がる、浅いトレンチ分離(48)をさらに含む、請求項8記載の半導体構造。
JP2004564839A 2002-12-31 2003-10-30 厚い歪みシリコン層を形成する方法、および厚い歪みシリコン層を組み込んだ半導体構造 Pending JP2006512766A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/335,447 US6730576B1 (en) 2002-12-31 2002-12-31 Method of forming a thick strained silicon layer and semiconductor structures incorporating a thick strained silicon layer
PCT/US2003/034673 WO2004061920A2 (en) 2002-12-31 2003-10-30 Method of forming a thick strained silicon layer and semiconductor structures incorporating a thick strained silicon layer

Publications (2)

Publication Number Publication Date
JP2006512766A true JP2006512766A (ja) 2006-04-13
JP2006512766A5 JP2006512766A5 (ja) 2006-12-14

Family

ID=32176300

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004564839A Pending JP2006512766A (ja) 2002-12-31 2003-10-30 厚い歪みシリコン層を形成する方法、および厚い歪みシリコン層を組み込んだ半導体構造

Country Status (8)

Country Link
US (1) US6730576B1 (ja)
EP (1) EP1579485A2 (ja)
JP (1) JP2006512766A (ja)
KR (1) KR20050091051A (ja)
CN (1) CN100365766C (ja)
AU (1) AU2003286810A1 (ja)
TW (1) TWI310241B (ja)
WO (1) WO2004061920A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011009760A (ja) * 2003-03-07 2011-01-13 Taiwan Semiconductor Manufacturing Co Ltd シャロートレンチアイソレーションプロセス
JP2011066410A (ja) * 2009-09-18 2011-03-31 Taiwan Semiconductor Manufacturing Co Ltd ハニカムヘテロエピタキシーを含む半導体装置

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6657276B1 (en) * 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
US6902991B2 (en) * 2002-10-24 2005-06-07 Advanced Micro Devices, Inc. Semiconductor device having a thick strained silicon layer and method of its formation
US6657223B1 (en) * 2002-10-29 2003-12-02 Advanced Micro Devices, Inc. Strained silicon MOSFET having silicon source/drain regions and method for its fabrication
US6787423B1 (en) * 2002-12-09 2004-09-07 Advanced Micro Devices, Inc. Strained-silicon semiconductor device
US7001837B2 (en) * 2003-01-17 2006-02-21 Advanced Micro Devices, Inc. Semiconductor with tensile strained substrate and method of making the same
US20040164373A1 (en) * 2003-02-25 2004-08-26 Koester Steven John Shallow trench isolation structure for strained Si on SiGe
US6924182B1 (en) * 2003-08-15 2005-08-02 Advanced Micro Devices, Inc. Strained silicon MOSFET having reduced leakage and method of its formation
US7175966B2 (en) * 2003-09-19 2007-02-13 International Business Machines Corporation Water and aqueous base soluble antireflective coating/hardmask materials
US7462549B2 (en) * 2004-01-12 2008-12-09 Advanced Micro Devices, Inc. Shallow trench isolation process and structure with minimized strained silicon consumption
US7005302B2 (en) * 2004-04-07 2006-02-28 Advanced Micro Devices, Inc. Semiconductor on insulator substrate and devices formed therefrom
WO2006076027A2 (en) * 2004-05-17 2006-07-20 Cambrios Technology Corp. Biofabrication of transistors including field effect transistors
JP2006108365A (ja) * 2004-10-05 2006-04-20 Renesas Technology Corp 半導体装置およびその製造方法
KR100707654B1 (ko) * 2005-07-26 2007-04-13 동부일렉트로닉스 주식회사 반도체 장치의 소자 분리 구조 및 그 형성방법
KR100707882B1 (ko) * 2005-12-14 2007-04-13 삼성전자주식회사 선택적 에피택시얼 성장 방법
EP1833094B1 (en) * 2006-03-06 2011-02-02 STMicroelectronics (Crolles 2) SAS Formation of shallow SiGe conduction channel
US7709331B2 (en) * 2007-09-07 2010-05-04 Freescale Semiconductor, Inc. Dual gate oxide device integration
US20090152590A1 (en) * 2007-12-13 2009-06-18 International Business Machines Corporation Method and structure for semiconductor devices with silicon-germanium deposits
US20100109044A1 (en) * 2008-10-30 2010-05-06 Tekleab Daniel G Optimized Compressive SiGe Channel PMOS Transistor with Engineered Ge Profile and Optimized Silicon Cap Layer
US20110062492A1 (en) * 2009-09-15 2011-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. High-Quality Hetero-Epitaxy by Using Nano-Scale Epitaxy Technology
CN102683210B (zh) * 2011-03-18 2020-01-24 中国科学院微电子研究所 一种半导体结构及其制造方法
US9059321B2 (en) 2012-05-14 2015-06-16 International Business Machines Corporation Buried channel field-effect transistors
CN103730404B (zh) * 2013-12-31 2018-10-16 上海集成电路研发中心有限公司 浅沟槽隔离的制造方法
CN108281353B (zh) * 2018-01-15 2019-04-23 西安交通大学 一种扫描式高能微束x射线制备应变硅的方法

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0982944A (ja) * 1995-09-18 1997-03-28 Toshiba Corp 歪シリコン電界効果トランジスタ及びその製造方法
JPH10308503A (ja) * 1997-04-30 1998-11-17 Internatl Business Mach Corp <Ibm> 絶縁体上にひずみ層を形成する方法
JP2001217430A (ja) * 1999-11-26 2001-08-10 Toshiba Corp 半導体基板の製造方法およびこれにより製造された半導体基板
WO2002103760A2 (en) * 2001-06-14 2002-12-27 Amberware Systems Corporation Method of selective removal of sige alloys
JP2003017671A (ja) * 2001-06-29 2003-01-17 Mitsubishi Materials Silicon Corp 半導体基板及び電界効果型トランジスタ並びにこれらの製造方法
JP2003249641A (ja) * 2002-02-22 2003-09-05 Sharp Corp 半導体基板、その製造方法及び半導体装置
JP2004128185A (ja) * 2002-10-02 2004-04-22 Renesas Technology Corp 絶縁ゲート型電界効果型トランジスタ及び半導体装置、並びにその製造方法
JP2004519090A (ja) * 2000-08-07 2004-06-24 アンバーウェーブ システムズ コーポレイション 歪み表面チャネル及び歪み埋め込みチャネルmosfet素子のゲート技術
JP2005532687A (ja) * 2002-07-09 2005-10-27 エス.オー.アイ.テック、シリコン、オン、インシュレター、テクノロジーズ バッファ層を備えるウエハからの薄層の転移

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19720008A1 (de) * 1997-05-13 1998-11-19 Siemens Ag Integrierte CMOS-Schaltungsanordnung und Verfahren zu deren Herstellung
US6013134A (en) * 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
JP4406995B2 (ja) * 2000-03-27 2010-02-03 パナソニック株式会社 半導体基板および半導体基板の製造方法
WO2001093338A1 (en) * 2000-05-26 2001-12-06 Amberwave Systems Corporation Buried channel strained silicon fet using an ion implanted doped layer
US6429061B1 (en) * 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
JP4269541B2 (ja) * 2000-08-01 2009-05-27 株式会社Sumco 半導体基板と電界効果型トランジスタ並びにSiGe層の形成方法及びこれを用いた歪みSi層の形成方法と電界効果型トランジスタの製造方法
US6724008B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6916727B2 (en) * 2001-06-21 2005-07-12 Massachusetts Institute Of Technology Enhancement of P-type metal-oxide-semiconductor field effect transistors
US6974735B2 (en) * 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
US6492216B1 (en) * 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
US6649492B2 (en) * 2002-02-11 2003-11-18 International Business Machines Corporation Strained Si based layer made by UHV-CVD, and devices therein

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0982944A (ja) * 1995-09-18 1997-03-28 Toshiba Corp 歪シリコン電界効果トランジスタ及びその製造方法
JPH10308503A (ja) * 1997-04-30 1998-11-17 Internatl Business Mach Corp <Ibm> 絶縁体上にひずみ層を形成する方法
JP2001217430A (ja) * 1999-11-26 2001-08-10 Toshiba Corp 半導体基板の製造方法およびこれにより製造された半導体基板
JP2004519090A (ja) * 2000-08-07 2004-06-24 アンバーウェーブ システムズ コーポレイション 歪み表面チャネル及び歪み埋め込みチャネルmosfet素子のゲート技術
WO2002103760A2 (en) * 2001-06-14 2002-12-27 Amberware Systems Corporation Method of selective removal of sige alloys
JP2003017671A (ja) * 2001-06-29 2003-01-17 Mitsubishi Materials Silicon Corp 半導体基板及び電界効果型トランジスタ並びにこれらの製造方法
JP2003249641A (ja) * 2002-02-22 2003-09-05 Sharp Corp 半導体基板、その製造方法及び半導体装置
JP2005532687A (ja) * 2002-07-09 2005-10-27 エス.オー.アイ.テック、シリコン、オン、インシュレター、テクノロジーズ バッファ層を備えるウエハからの薄層の転移
JP2004128185A (ja) * 2002-10-02 2004-04-22 Renesas Technology Corp 絶縁ゲート型電界効果型トランジスタ及び半導体装置、並びにその製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011009760A (ja) * 2003-03-07 2011-01-13 Taiwan Semiconductor Manufacturing Co Ltd シャロートレンチアイソレーションプロセス
JP2011066410A (ja) * 2009-09-18 2011-03-31 Taiwan Semiconductor Manufacturing Co Ltd ハニカムヘテロエピタキシーを含む半導体装置

Also Published As

Publication number Publication date
TW200421608A (en) 2004-10-16
EP1579485A2 (en) 2005-09-28
WO2004061920A3 (en) 2005-01-27
CN1732556A (zh) 2006-02-08
US6730576B1 (en) 2004-05-04
CN100365766C (zh) 2008-01-30
TWI310241B (en) 2009-05-21
AU2003286810A1 (en) 2004-07-29
WO2004061920A2 (en) 2004-07-22
KR20050091051A (ko) 2005-09-14

Similar Documents

Publication Publication Date Title
US6902991B2 (en) Semiconductor device having a thick strained silicon layer and method of its formation
US6730576B1 (en) Method of forming a thick strained silicon layer and semiconductor structures incorporating a thick strained silicon layer
US7071065B1 (en) Strained silicon PMOS having silicon germanium source/drain extensions and method for its fabrication
US7701019B2 (en) Tensile strained substrate
US6657223B1 (en) Strained silicon MOSFET having silicon source/drain regions and method for its fabrication
KR101054057B1 (ko) 니켈 게르마노실리사이드 게이트를 구비한 mosfet과 그 형성 방법
US6943087B1 (en) Semiconductor on insulator MOSFET having strained silicon channel
US7413961B2 (en) Method of fabricating a transistor structure
US6627488B2 (en) Method for fabricating a semiconductor device using a damascene process
US20050054164A1 (en) Strained silicon MOSFETs having reduced diffusion of n-type dopants
US6849527B1 (en) Strained silicon MOSFET having improved carrier mobility, strained silicon CMOS device, and methods of their formation
US7485929B2 (en) Semiconductor-on-insulator (SOI) strained active areas
US7888194B2 (en) Method of fabricating semiconductor device
JP2006332337A (ja) 半導体装置及びその製造方法
US7033869B1 (en) Strained silicon semiconductor on insulator MOSFET
US6867428B1 (en) Strained silicon NMOS having silicon source/drain extensions and method for its fabrication
US7514331B2 (en) Method of manufacturing gate sidewalls that avoids recessing
KR100558011B1 (ko) 전체실리사이드 금속게이트전극을 갖는 모스 트랜지스터의제조방법
JP4010724B2 (ja) 半導体装置の製造方法
US6924182B1 (en) Strained silicon MOSFET having reduced leakage and method of its formation
US7012007B1 (en) Strained silicon MOSFET having improved thermal conductivity and method for its fabrication
KR101131418B1 (ko) 반도체 소자 및 이의 제조 방법
US6893929B1 (en) Method of forming strained silicon MOSFET having improved threshold voltage under the gate ends
KR100461156B1 (ko) 선택적 에피택셜 성장법을 이용한 규소게르마늄바이씨모스 소자 제조 방법
EP1584106A2 (en) Shallow trench isolation process for strained silicon processes

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061027

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061027

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20100421

RD05 Notification of revocation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7425

Effective date: 20100902

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101215

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110706