WO2019214578A1 - 开门装置、传输腔室和半导体处理设备 - Google Patents

开门装置、传输腔室和半导体处理设备 Download PDF

Info

Publication number
WO2019214578A1
WO2019214578A1 PCT/CN2019/085678 CN2019085678W WO2019214578A1 WO 2019214578 A1 WO2019214578 A1 WO 2019214578A1 CN 2019085678 W CN2019085678 W CN 2019085678W WO 2019214578 A1 WO2019214578 A1 WO 2019214578A1
Authority
WO
WIPO (PCT)
Prior art keywords
opening
wafer cassette
door
wafer
chamber
Prior art date
Application number
PCT/CN2019/085678
Other languages
English (en)
French (fr)
Inventor
董金卫
赵晋荣
Original Assignee
北京北方华创微电子装备有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 北京北方华创微电子装备有限公司 filed Critical 北京北方华创微电子装备有限公司
Priority to SG11202010920WA priority Critical patent/SG11202010920WA/en
Priority to JP2021512986A priority patent/JP7223123B2/ja
Priority to KR1020207031997A priority patent/KR102583239B1/ko
Publication of WO2019214578A1 publication Critical patent/WO2019214578A1/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Definitions

  • the present disclosure relates to the field of semiconductor manufacturing technology, and in particular, to a door opening device, a transmission chamber, and a semiconductor processing device.
  • the contamination of the microparticles is one of the main factors affecting the yield of the product and the performance of the process device, so it is necessary to strictly control the contamination of the wafer by the particles.
  • Wafer transfer is one of the most vulnerable processes.
  • the sealing door of the wafer cassette needs to be opened by the door opening device to perform wafer transfer through the film opening of the wafer cassette, and the moving parts inside the door opening device are the main links of the particles.
  • a prior art door opening device includes a mounting structure disposed at the bottom of the wafer cassette, connected to the wafer cassette sealing door, and a driving mechanism for driving the mounting structure for vertical movement.
  • the driving mechanism Driven by the driving mechanism, after the mounting structure opens the wafer cassette door, the mounting structure and the driving mechanism are in a state in which the inside of the wafer cassette and the mounting structure at the bottom of the chamber and the inside of the driving mechanism are in communication with each other.
  • the internal moving parts are granules generated by friction during the movement, and the lubricating oil of the moving parts also volatilizes to produce particles. These particles can enter the wafer cassette, causing contamination of the wafers in the wafer cassette and affecting the yield of the product.
  • the present disclosure is directed to at least partially solving the technical problems existing in the prior art, and proposes a door opening device, a transfer chamber, and a semiconductor processing device for avoiding moving parts of the door opening device during opening of the wafer cassette.
  • the particles generated by the friction and the particles generated by the volatilization of the lubricating oil contaminate the wafer inside the wafer cassette.
  • a door opening device for opening or closing a sealed door of a wafer cassette, the door opening device comprising:
  • a housing member for movably mating with a chamber wall of the transfer chamber and at a peripheral location of the transfer interface of the chamber wall that interfaces with the wafer opening of the wafer cassette to enable opening or closing Transmitting an interface and a film opening of the wafer cassette, and providing a first opening on a side of the housing member facing the wafer cassette;
  • a docking assembly disposed at the first opening for docking the sealed door and the pod when the housing member is in a position to close the transfer interface and the transfer opening
  • the phases are separated and the sealing door or the docking assembly is sealingly engaged with the first opening to form a sealed space inside the housing component.
  • the docking component includes:
  • a driving mechanism disposed inside the housing member for driving the docking plate to move to a first position capable of docking with the sealing door, and after the docking plate is docked with the sealing door, driving the driving The docking plate is moved to a second position in which the sealing door or the docking plate is sealingly engaged with the first opening.
  • an adsorption structure is disposed on the docking plate for fixing the sealing door by suction when the docking plate is in the first position.
  • a first seal is disposed at the location for sealing the first opening when the docking plate is in the second position.
  • the housing component includes a ring body and a cover body, wherein
  • An end surface of the opening of the cover body abuts the first end surface of the ring body, and a second sealing member is disposed therebetween;
  • a ring hole of the ring body serves as the first opening, and a second end surface of the ring body facing away from the first end face is used to face away from the wafer cassette with the sealing door or the docking plate The surface is butted and the first seal is disposed therebetween.
  • the door opening device further includes:
  • a housing drive mechanism coupled to the housing member for driving the housing member and the docking assembly therein to move integrally.
  • a third seal is provided at the location for sealing the transfer interface and the transfer opening when the housing component is in a position to close the transfer interface and the transfer opening.
  • the door opening device further includes:
  • a purging mechanism for introducing a purge gas into the interior of the wafer cassette when the housing member is in a position to close the film opening and when the sealing door is separated from the wafer cassette At the same time, the gas inside the wafer cassette is discharged.
  • the purging mechanism comprises:
  • a purging nozzle disposed on a side of the casing member facing the wafer cassette for ejecting the purge gas into the interior of the wafer cassette
  • An intake air passage is respectively connected to the purging nozzle and the air source for conveying the purging gas provided by the air source to the purging nozzle;
  • An exhaust gas path for discharging gas inside the wafer cassette to the outside of the housing member is provided.
  • the housing component is provided with an exhaust structure for exhausting gas inside the housing component to the exterior of the housing component.
  • a transfer chamber comprising:
  • a chamber body provided with a transfer interface for interfacing with a film opening of the wafer cassette
  • the door opening device capable of opening or isolating the inside of the chamber body and the inside of the wafer cassette by simultaneously opening or closing the film opening and the transfer interface .
  • the above-described door opening device provided by the present disclosure is included;
  • the intake air passage is an intake passage disposed in the chamber body, and an inlet of the intake passage is opened outside the chamber body for connecting to the air source, the intake air
  • An outlet of the passage is open on an inner side of the chamber body for abutting communication with the inlet of the purge head when the housing member is in a position to close the transfer port;
  • the exhaust gas path is an exhaust passage opened in a casing of the wafer cassette, an inlet of the exhaust passage is in communication with an interior of the wafer cassette, and an outlet of the exhaust passage is opened in the The outside of the cassette of the wafer cassette.
  • a bracket is disposed outside the side wall of the chamber body and at the transfer interface for docking the transfer port of the wafer cassette with the transfer interface Carrying the wafer cassette.
  • a semiconductor processing apparatus comprising:
  • a wafer carrying device for carrying a wafer and being movable between the reaction chamber and the transfer chamber;
  • a robot disposed in the transfer chamber, for the housing member to be in a position to open the film opening, and in the wafer cassette when the wafer carrier is located in the transfer chamber Transferring wafers to and from the wafer carrier.
  • the semiconductor processing apparatus is a vertical heat treatment process apparatus.
  • FIG. 1 is a schematic view showing a state in which the door opening device of the embodiment of the present disclosure has not opened the wafer cassette sealing door;
  • FIG. 2 is a schematic view showing a state in which the door opening device of the embodiment of the present disclosure has opened the wafer cassette sealing door;
  • FIG 3 is a schematic view showing a state in which the door opening device has opened the wafer cassette sealing door according to another embodiment of the present disclosure.
  • FIG. 4 is a schematic structural diagram of a semiconductor processing apparatus according to an embodiment of the present disclosure.
  • FIG. 5 is a schematic diagram showing the positional relationship between a wafer cassette and a door opening device according to an embodiment of the present disclosure.
  • An embodiment of the present disclosure provides a door opening device for opening a sealed door of a film opening of a wafer cassette.
  • Figure 1 shows the case where the door opening device has not opened the wafer cassette sealing door;
  • Figure 2 shows the case where the door opening device has opened the wafer cassette sealing door.
  • the door opening device 12 provided in this embodiment includes a housing component 101 and a docking component 106.
  • the housing member 101 is for movably docking with the chamber body 14 (i.e., the chamber wall) of the transfer chamber, and is located at a peripheral position of the transfer interface of the chamber body 14 that interfaces with the film opening of the wafer cassette 9. In order to be able to open or close the transfer interface and the transfer port. Further, a first opening 103 is provided on a side of the casing member 101 facing the wafer cassette 9. The docking assembly 106 is disposed at the first opening 103 for docking the sealing door 9A and separating it from the wafer cassette 9 while the housing member 101 is in the position of closing the transfer interface and the film opening, while sealing the door 9A The first opening 103 is sealingly fitted to form a sealed space inside the casing member 101.
  • the docking assembly 106 can remove the sealing door 9A from the wafer cassette 9, that is, the sealing door 9A is separated from the wafer cassette 9, but this The housing member 101 still encloses the transfer interface and the transfer opening, keeping the interior of the wafer cassette 9 and the chamber interior thereof in isolation from each other.
  • the docking assembly 106 can hold the sealing door 9A after the sealing door 9A is removed, while the sealing door 9A is in sealing engagement with the first opening 103 to form a sealed space inside the casing member 101.
  • the moving parts of the docking assembly 106 are sealed in the sealed space, thereby ensuring that the pollutants generated by the moving parts and the lubricating oil thereon do not cause any pollution to the wafer 10 inside the wafer cassette 9, and thus Helps improve product yield and performance.
  • the sealing door 9A can be moved together with the housing member 101, and the inside of the wafer cassette 9 and the chamber inside the chamber are in communication with each other. During this process, the sealing door 9A is in sealing engagement with the first opening 103 to ensure that the moving parts of the docking assembly 106 are always sealed within the sealed space.
  • a third seal 12C is provided at a position of the periphery of the corresponding transfer interface of the housing member 101 and/or at a position on the chamber body 14 at the periphery of the transfer interface for use in the case When the body member 101 is in a position to close the transfer interface and the transfer port, the transfer interface and the transfer port are sealed.
  • the side of the housing member 101 facing the chamber body 14 is sealingly abutted inside the side wall of the chamber body 14 and at a position around the periphery of the transfer interface to isolate the interior of the door opening device 12 from the interior of the chamber body 14.
  • the interior of the door opening device 12 is prevented from contaminating the interior of the chamber body 14.
  • the third seal 12C is an inflatable seal.
  • the door opening device 12 further includes a housing drive mechanism (not shown) that is coupled to the housing member 101 for driving the housing member 101 and its interior docking assembly 106 to move integrally.
  • a housing drive mechanism (not shown) that is coupled to the housing member 101 for driving the housing member 101 and its interior docking assembly 106 to move integrally.
  • This embodiment does not limit the type and structure of the housing drive mechanism as long as the door opening device 12 can be driven to move.
  • the moving direction of the door opening device 12 is not limited, and may be the up-and-down direction in FIG. 1 or the direction perpendicular to the paper surface as long as the film opening of the wafer cassette 9 can be completely opened.
  • the housing member 101 may be manually moved or removed without providing the above-described housing driving mechanism.
  • the manner in which the housing member 101 and the wafer cassette 9 are movably docked includes a manner in which the two can be relatively moved, or a detachable connection.
  • the docking assembly 106 includes a docking plate 12M and a drive mechanism 105, wherein the drive mechanism 105 is disposed inside the housing member 101 for driving the docking plate 12M to move to a first position capable of docking with the sealing door 9A.
  • the driving butting plate 12M is moved to a second position (the position of the docking plate 12M shown in FIG. 2) in which the sealing door 9A can be sealingly engaged with the first opening 103.
  • the driving mechanism 105 drives the docking plate 12M to move horizontally toward the film opening of the wafer cassette 9 until the docking plate 12M reaches the first position that is in contact with the sealing door 9A, and the driving mechanism 105 drives the docking plate 12M away from the wafer.
  • the direction of the film opening of the cartridge 9 is moved horizontally until the docking plate 12M reaches the second position in which the sealing door 9A is sealingly engaged with the first opening 103.
  • the drive mechanism 105 includes a support frame 12N, a driver 12E, and a guiding mechanism 12G.
  • the support frame 12N is fixed in the housing member 101 for supporting the driver 12E and the guide mechanism 12G.
  • the driver 12E is for driving the docking plate 12M to move between the first position and the second position, the driver 12E being, for example but not limited to, a cylinder.
  • the guiding mechanism 12G is for defining the moving direction of the butting plate 12M, for example, in a direction perpendicular to the sealing door 9A of the wafer cassette 9, that is, moving left and right in the horizontal direction in FIG. By means of the guiding mechanism 12G, the docking plate 12M can be smoothly moved to smoothly open the sealing door 9A.
  • the guide mechanism 12G is, for example but not limited to, a linear bearing.
  • an adsorption structure 13 is disposed on the docking plate 12M for fixing the sealing door 9A by suction when the docking plate 12M is located at the first position.
  • the adsorption structure 13 includes at least one adsorbing member and is disposed on a side of the butting plate 12M facing the sealing door 9A for adsorbing the sealing door 9A.
  • the sorbent member employs a suction cup.
  • the adsorption structure 13 further includes an evacuation device disposed outside the door opening device 12 and in communication with the suction cup 13 for controlling the suction operation of the suction cup 13.
  • the adsorption structure 13 may also use other types of adsorption devices as long as the sealing door 9A can be attracted to the docking plate 12M.
  • the docking assembly 106 further includes an unlocking mechanism 12F disposed on a side of the docking plate 12M facing away from the sealing door 9A for locking or unlocking a locking mechanism (not shown) mounted on the sealing door 9A.
  • the unlocking mechanism 12F has a retractable rotating pin that can open the locking structure through the docking plate 12M to unlock the sealing door 9A.
  • a first sealing member 12D is provided on the housing member 101 at a position around the periphery of the first opening 103 for sealing the first opening 103 when the docking plate 12M is in the second position. Specifically, in the process of moving the docking plate 12M to the second position, the surface of the sealing door 9A opposite to the first opening 103 is in contact with the first sealing member 12D, and causes compression deformation, and the first sealing is performed at this time.
  • the piece 12D is capable of sealing the gap between the sealing door 9A and the two abutting faces of the housing member 101.
  • the first sealing member 12D may also be disposed at a position of the sealing door 9A corresponding to the periphery of the first opening 103, or the first sealing member 12D may also be two and disposed respectively on the housing member 101.
  • the upper portion is located at a position around the first opening 103, and at a position of the sealing door 9A corresponding to the periphery of the first opening 103.
  • the size of the sealing door 9A should be larger than the size of the first opening 103 so that the surface of the sealing door 9A opposite to the first opening 103 can be docked with the surface of the casing member 101 at the periphery of the first opening 103.
  • the size of the butt plate 12M should be slightly smaller than the size of the first opening 103 so that the butt plate 12M can protrude or protrude from the first opening 103.
  • the adsorption structure 13 included therein is also only an exemplary description, and the disclosure is not limited thereto.
  • the adsorption structure 13 can be replaced with any of the components that can be fixedly attached to the sealing door 9A, such as a snap member and a screw member.
  • the housing member 101 includes a ring body 102 and a cover body 104.
  • the cover body 104 is composed of a closed annular side plate 12L and a top plate 12J that closes one end of the side plate 12L.
  • the radial cross-sectional shape of the side plate 12L may be a circular ring shape or a quadrangular shape, and the shape of the top plate 12J. It is similar to the shape of the opening of the side plate 12L.
  • a seal ring 12A is provided between the surface of the top plate 12J facing the side plate 12L and one of the end faces of the side plates 12L for sealing the gap therebetween.
  • the axis of the ring body 102 is perpendicular to the abutting plate 12M, and the ring hole of the ring body 102 serves as the first opening 103. Moreover, the first end surface of the ring body 102 (the end surface of the ring body 102 facing to the right in FIG. 1) is abutted with the end surface of the side plate 12L away from the top plate 12J, and a second sealing member 12B is disposed therebetween for sealing sealing. A gap between the ring body 102 and the side plate 12L.
  • the housing member 101 may also be formed in a unitary structure, that is, the ring body 102 and the cover body 104 are integrally or integrally formed to avoid engagement between the components constituting the housing member 101. A gap is formed at the place.
  • the second end surface of the ring body 102 facing away from the first end surface thereof (the left end surface of the ring body 102 in FIG. 1) is used to interface with the surface of the sealing door 9A facing away from the wafer cassette 9, and the above-mentioned first portion is disposed therebetween A seal 12D.
  • the ring body 102 includes an outer ring body 12K2 and an inner ring body 12K1 located inside the outer ring body 12K2, and the axial thickness of the inner ring body 12K1 is smaller than the axial thickness of the outer ring body 12K2, so that The surface of the inner ring body 12K1 facing the sealing door 9A has a height difference from the surface of the outer ring body 12K2 facing the sealing door 9A to form a stepped structure.
  • the axial thickness refers to the length of the inner ring body 12K1 or the outer ring body 12K2 in the direction perpendicular to the sealing door 9A, that is, the length of the inner ring body 12K1 or the outer ring body 12K2 in the horizontal direction in Fig. 2 .
  • the inner ring body 12K1 faces the end face of the sealing door 9A for abutting against the sealing door 9A, and a first sealing member 12D is provided on the surface of the end face and/or the sealing door 9A that abuts against it.
  • the two end faces of the outer ring body 12K2 are respectively used for sealingly abutting against the peripheral side walls of the cover 104 and the transfer interface of the chamber that interfaces with the film opening of the wafer cassette 9.
  • the drive mechanism 105 includes moving parts such as the driver 12E and the guide mechanism 12G.
  • these moving parts inevitably generate particulate contaminants due to motion friction.
  • these moving parts are lubricated by lubricating oil, and the volatilization of the lubricating oil also produces contaminants.
  • the sealing mechanism 9 when the sealing door 9A of the wafer cassette is in the open state, the sealing mechanism 9 is sealingly engaged with the first opening 103, so that the driving mechanism 105 is always sealed inside the housing member 101.
  • the formed sealing space is realized, so that the moving parts of the driving mechanism 105 are completely isolated from the wafer cassette 9, thereby ensuring that the pollutants generated by the moving parts and the lubricating oil thereon do not crystallize the inside of the wafer cassette 9.
  • Round 10 causes any pollution, which in turn helps to improve product yield and performance.
  • a door opening device as shown in FIG. 3, also includes a housing member 101 and a docking assembly 106 as compared to the previous embodiment.
  • this embodiment is different from the previous embodiment in that when the docking assembly 106 is butted and sealed from the wafer cassette 9, the docking plate 12M and the first opening 103 of the docking assembly 106 are separated. The seal fits to form a sealed space inside the housing member 101.
  • the difference in height between the surface of the inner ring body 12K1 of the ring body 102 facing the sealing door 9A and the surface of the outer ring body 12K2 facing the sealing door 9A is larger than that of the previous embodiment. So that the inner ring body 12K1 is farther from the wafer cassette 9 than the inner ring body 12K1 of the previous embodiment; at the same time, the inner diameter of the inner ring body 12K1 is smaller than that of the inner ring body 12K1 of the previous embodiment, It can be docked with the docking plate 12M.
  • the docking plate 12M and the sealing door 9A of the present embodiment can seal the docking plate 12M and the first opening 103 when moving to the same second position as the previous embodiment (the position of the docking plate 12M shown in FIG. 2).
  • the end surface of the inner ring body 12K1 facing the butting plate 12M is used to abut against the butting plate 12M, and the sealing door 9A is not in contact with the inner ring body 12K1.
  • a first sealing member 12D is provided on the surface of the end surface and/or the butting plate 12M that abuts against it.
  • the pressing force applied to the first sealing member 12D can be increased, so that a better sealing effect can be achieved.
  • the door opening device further includes a purging mechanism for positioning the housing member 101 at a position to close the transfer port and the film opening, and when the sealing door 9A is separated from the wafer cassette 9,
  • the inside of the round box 9 is supplied with a purge gas while discharging the gas inside the wafer cassette 9.
  • the purge gas is, for example, an inert gas such as nitrogen.
  • the purge gas By supplying the purge gas to the inside of the wafer cassette 9 by the above-described purging mechanism, impurities such as particles in the wafer cassette 9 can be discharged out of the wafer cassette 9 with the purge gas, so that the inside of the wafer cassette 9 can be cleaned. Degree, and during the purging process, since the housing member 101 is in a position to close the transfer interface and the transfer port, the inside of the wafer cassette 9 and the chamber inside the wafer cassette 9 are kept isolated, which causes the wafer cassette 9 The gas inside does not leak into the chamber.
  • the purge gas does not enter the inside of the door opening device 12, thereby ensuring good gas partial pressure and laminar flow, thereby achieving better purification. Purge effect.
  • the door opening device 12 to which the sealing door 9A is adsorbed can be moved to a position where the conveying interface and the film opening are opened to realize the inside of the wafer cassette 9 and the chamber. Connected.
  • the purging mechanism includes a purging head 11, an intake air path, and an exhaust gas path, wherein the purging head 11 is disposed on a side of the casing member 101 facing the wafer cassette 9 for crystallizing
  • the inside of the round box 9 ejects a purge gas.
  • the purge head 11 is disposed on the side of the ring body 102 facing the wafer cassette 9, for example, the outer ring body 12K2 of the plate member 102 for purging the inert gas into the interior of the wafer cassette 9.
  • the intake air passages are respectively connected to the purge nozzle 11 and a gas source (not shown) for conveying the purge gas supplied from the gas source to the purge nozzle 11.
  • the exhaust gas path is for discharging the gas inside the wafer cassette 9 to the outside of the casing member 101.
  • the housing member 101 is provided with an exhaust structure 12H for discharging the gas inside the housing member 101 to the outside of the housing member 101.
  • the exhaust structure 12H is, for example, an exhaust pipe.
  • the transfer chamber includes a chamber body. 14 and the door opening device 12 provided by each of the above embodiments, wherein the chamber body 14 is provided with a transfer interface 107 for interfacing with the film opening of the wafer cassette 9.
  • the door opening device 12 can simultaneously open or close the film opening of the wafer cassette 9 and the transfer interface 107, and can open or close the interior of the chamber body 14 and the wafer cassette by simultaneously opening or closing the film opening and the transfer interface 107.
  • the inside of the chamber body 14 is formed with a closed transmission space 5, and a transfer interface 107 for interfacing with the film opening of the wafer cassette 9 is provided on the side wall of the chamber body 14.
  • the door opening device 12 is disposed inside the side wall of the transfer port 107 of the chamber body 14, and is movable. For example, under the drive of the housing drive mechanism, the door opening device 12 can be moved along the side wall of the transfer interface 107 of the chamber body 14 to be movable to a position that opens or closes the transfer interface 107.
  • the film opening of the wafer cassette 9 is docked with the transfer interface 107, after the sealing door 9A is removed from the wafer cassette 9 by the door opening device 12, the wafer cassette 9 is opened or closed while the door opening device 12 is opened or closed.
  • the film opening is also opened or closed, that is, the film opening of the wafer cassette 9 is connected or isolated from the transfer space 5.
  • the surface of the wafer cassette 9 that abuts the outside of the side wall of the chamber body 14 is provided with a sealing ring for sealing the gap therebetween.
  • the housing member 101 is sealingly abutted against the side of the chamber body 14 inside the side wall of the chamber body 14 and at a position around the periphery of the transfer interface 107, in particular, the ring of the housing member 101.
  • the outer ring body 12K2 of the body 102 is sealed to the inner side of the side wall of the chamber body 14 through the third sealing member 12C to isolate the inside of the door opening device 12 from the transmission space 5, thereby avoiding contamination of the transmission space 5 inside the door opening device 12.
  • a bracket 15 is disposed outside the side wall of the chamber body 14 and at the transfer interface 107 for carrying the wafer cassette 9 when the film opening of the wafer cassette 9 is docked with the transfer interface 107.
  • the transmission chamber provided in this embodiment is further provided with a purging mechanism, which includes a purging nozzle 11, an intake air path and an exhaust gas path, wherein The purge head 11 is for ejecting a purge gas into the interior of the wafer cassette 9.
  • a purging mechanism which includes a purging nozzle 11, an intake air path and an exhaust gas path, wherein The purge head 11 is for ejecting a purge gas into the interior of the wafer cassette 9.
  • the intake air passage is an intake passage disposed in the chamber body 14.
  • the inlet P1 of the intake passage is open outside the side wall of the chamber body 14 for connection with a gas source; the outlet of the intake passage is opened in the chamber
  • the inside of the side wall of the chamber body 14 is for abutting communication with the inlet of the purge head 11 when the housing member 101 is in the position to close the transfer port.
  • the exhaust gas path is an exhaust passage opened in the casing of the wafer cassette 9, the inlet of the exhaust passage is in communication with the inside of the wafer cassette 9, and the outlet P2 of the exhaust passage is opened in the casing of the wafer cassette 9.
  • the outer side is also located outside the side wall of the chamber body 14.
  • the external air source supplies a purge gas such as nitrogen gas to the inlet P1 of the intake passage, and the purge gas is blown into the inside of the wafer cassette 9 through the intake passage and the purge head 11.
  • the purge gas inside the wafer cassette 9 is discharged through the exhaust passage through its outlet P2.
  • the purge gas does not enter the inside of the door opening device 12, which can ensure good gas partial pressure and laminar flow, and achieve better purification. Purge effect.
  • the door opening device 12 After the opening device 12 completes the opening and purging purge of the wafer cassette sealing door 9A, the door opening device 12 adsorbing the sealing door 9A moves along the side wall of the chamber body 14, and the transfer interface 107 and the film opening are completely opened to realize the wafer.
  • the box 9 is in communication with the transport space 5.
  • FIG. 4 Another embodiment of the present disclosure further provides a semiconductor processing apparatus, such as a vertical semiconductor heat treatment apparatus, as shown in FIG. 4, the apparatus includes a wafer cassette 9, a reaction chamber, and the above various embodiments.
  • the transfer chamber, the support assembly, and the robot 8 are used to transfer the wafer 10 between the wafer cassette 9 and the reaction chamber.
  • the top wall of the chamber body 14 is provided with a top transfer interface that communicates with the reaction chamber.
  • the reaction chamber includes a process tube 2, a heater 1 surrounding the process tube 2, and a support assembly.
  • the bottom end of the process tube 2 is provided with a sealing door 3. When the sealing door 3 is closed, the process tube 2 is isolated from the chamber body 14. When the sealing door 3 is opened, the process tube 2 communicates with the chamber body 14 via the top transfer interface.
  • the support assembly includes a wafer carrier device 4 carrying the wafer 10, a heat preservation barrel 6 supporting the wafer carrier device 4, a process door 7, and a lifting device.
  • the lifting device can drive the wafer carrier 4, the heat preservation barrel 6 and the process door 7 to move integrally.
  • the support assembly can enter the process tube 2 from the chamber body 14, or enter the chamber body 14 from the process tube 2.
  • the door opening device 12 closes the transfer interface 107, and the wafer 10 is placed inside the wafer cassette 9.
  • the wafer cassette 9 is transferred to the carrier 15 and is sealingly butted to the outside of the side wall of the chamber body 14, while the film opening of the wafer cassette 9 is docked with the transfer interface 107.
  • the door opening device 12 opens the wafer cassette sealing door 9A, the door opening device 12 is removed from the conveying interface 107 together with the sealing door 9A of the wafer cassette, so that the conveying interface 107 and the film opening are simultaneously fully opened, and the wafer cassette 9 is simultaneously opened.
  • the interior is in communication with the interior of the chamber body 14.
  • the robot 8 is disposed in the transfer chamber for transferring the gate between the wafer cassette 9 and the wafer carrier 4 when the door opening device 12 is in the position of opening the film opening and when the wafer carrier device 4 is located in the transfer chamber.
  • Round 10 Specifically, after the inside of the wafer cassette 9 communicates with the inside of the chamber body 14, the robot 8 takes the wafer 10 out of the wafer cassette 9 and transfers it to the wafer carrier 4.
  • the process tube sealing door 3 is opened, the lifting device drives the wafer carrying device 4, the heat preservation barrel 6 and the process door 7 are moved upward into the process tube 2, the process tube sealing door 3 is closed, and the wafer 10 is processed in the process tube 2.
  • the process tube sealing door 3 is opened, the lifting device drives the wafer carrier device 4, the heat preservation barrel 6 and the process door 7 to move downward, and returns to the initial position in the chamber body 14, the robot 8 from the wafer carrier device 4
  • the wafer 10 is removed and the wafer 10 is transferred to the inside of the wafer cassette 9 via the transfer interface 107 and the transfer port.
  • the door opening device 12 is moved to the transfer interface 107, the transfer interface 107 is closed, and the sealed door 9A of the wafer cassette is closed, and the wafer cassette 9 is removed from the chamber body 14, thereby completing the entire process.
  • ordinal numbers such as “first,” “second,” “third,” and the like, as used in the ⁇ Desc/Clms Page number>> It does not represent the order of one element and another element, or the order of the method of manufacture. The use of these ordinal numbers is only used to enable a component having a certain name to be clearly distinguished from another element having the same name.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本公开提供了一种开门装置、传输腔室和半导体处理设备,该开门装置用于开启或关闭晶圆盒的密封门,包括壳体部件,用于可移动的与传输腔室的腔室壁对接,且位于腔室壁的与晶圆盒的传片口对接的传送接口的周边位置,以开启或者封闭传送接口和晶圆盒的传片口,且在壳体部件的朝向晶圆盒的一侧设有第一开口;对接组件设置在第一开口处,用于在壳体部件处于封闭传送接口和传片口的位置时,对接密封门并使之与晶圆盒相分离,并且密封门或对接组件与第一开口密封配合,以使壳体部件的内部形成密封空间。本公开提供的开门装置,可以确保这些运动部件和其上的润滑油产生的污染物不会对晶圆盒内部的晶圆造成任何污染,进而有利于提高产品良率和性能。

Description

开门装置、传输腔室和半导体处理设备 技术领域
本公开涉及半导体制造技术领域,尤其涉及一种开门装置、传输腔室和半导体处理设备。
背景技术
在半导体加工工艺中,对成膜均匀性、成膜质量、金属离子污染控制和颗粒污染控制等的核心工艺参数都有非常高的要求。在半导体芯片制造过程中,微粒子的污染是影响产品良品率和工艺器件性能的主要原因之一,因此需要严格地控制颗粒对晶圆的污染。
影响晶圆洁净度的因素有很多,包括基础材料、加热器的选择、运动部件结构、设备接口、晶圆传送路径、气体管路及器件的洁净度等。晶圆的传输过程是最容易受到污染的过程之一。例如,在立式热处理工艺设备中,需要通过开门装置将晶圆盒的密封门打开,以通过晶圆盒的传片口进行晶圆传送,而开门装置内部的运动部件是产生颗粒的主要环节之一。
现有的一种开门装置,其包括设置在晶圆盒底部,与晶圆盒密封门连接的安装结构,和用于驱动该安装结构作垂直运动的驱动机构。在驱动机构的驱动下,安装结构将晶圆盒门打开后,由于晶圆盒的内部与腔室底部的安装结构所在空间以及驱动机构的内部均处于互相连通的状态,而安装结构和驱动机构内部的运动部件在运动过程中由于摩擦会产生的颗粒,运动部件的润滑油也会挥发产生颗粒。这些颗粒会进入晶圆盒,从而对晶圆盒内的晶圆造成污染,影响产品良品率。
发明内容
本公开旨在至少部分地解决现有技术中存在的技术问题,提出了一种开门装置、传输腔室和半导体处理设备,其用于避免在晶圆盒的开启过程中,开门装置的运动部件摩擦产生的颗粒和润滑油挥发产生的颗粒对晶圆盒内部的晶圆造成污染。
根据本公开的一个方面,提供了一种开门装置,用于开启或关闭晶圆盒的密封门,所述开门装置包括:
壳体部件,用于可移动的与传输腔室的腔室壁对接,且位于该腔室壁的与所述晶圆盒的传片口对接的传送接口的周边位置,以能够开启或者封闭所述传送接口和所述晶圆盒的传片口,且在所述壳体部件的朝向所述晶圆盒的一侧设有第一开口;
对接组件,设置在所述第一开口处,用于在所述壳体部件处于封闭所述传送接口和所述传片口的位置时,能够对接所述密封门并使之与所述晶圆盒相分离,并且所述密封门或所述对接组件与所述第一开口密封配合,以使所述壳体部件的内部形成密封空间。
在本公开的一些实施例中,所述对接组件包括:
对接板;
驱动机构,设置在所述壳体部件的内部,用于驱动所述对接板移动至能够与所述密封门对接的第一位置,及在所述对接板与所述密封门对接后,驱动所述对接板移动至所述密封门或所述对接板与所述第一开口密封配合的第二位置。
在本公开的一些实施例中,在所述对接板上设置有吸附结构,用于在所述对接板位于所述第一位置时,采用吸附的方式固定所述密封门。
在本公开的一些实施例中,在所述密封门或所述对接板的对应所述第一开口的周边的位置处,和/或在所述壳体部件上的位于所述第一开口周边的位置处设置有第一密封件,用于在所述对接板位于所述第二位置时,密封所述 第一开口。
在本公开的一些实施例中,所述壳体部件包括环体和罩体,其中,
所述罩体的开口所在端面与所述环体的第一端面对接,且在二者之间设置有第二密封件;
所述环体的环孔用作所述第一开口,且所述环体的背离所述第一端面的第二端面用于与所述密封门或所述对接板的背离所述晶圆盒的表面对接,且在二者之间设置有所述第一密封件。
在本公开的一些实施例中,所述开门装置还包括:
壳体驱动机构,与所述壳体部件连接,用于驱动所述壳体部件及其内部的所述对接组件整体移动。
在本公开的一些实施例中,在所述壳体部件的对应所述传送接口的周边的位置处,和/或在所述传输腔室的腔室壁上的位于所述传送接口的周边的位置处设置有第三密封件,用于在所述壳体部件处于封闭所述传送接口和所述传片口的位置时,密封所述传送接口和所述传片口。
在本公开的一些实施例中,所述开门装置还包括:
吹扫机构,用于在所述壳体部件处于封闭所述传片口的位置,且在所述密封门与所述晶圆盒相分离时,向所述晶圆盒的内部通入吹扫气体,同时排出所述晶圆盒内部的气体。
在本公开的一些实施例中,所述吹扫机构包括:
吹扫喷头,设置在所述壳体部件朝向所述晶圆盒的一侧,用于向所述晶圆盒的内部喷出所述吹扫气体;
进气气路,分别与所述吹扫喷头和气源连接,用于将所述气源提供的所述吹扫气体输送至所述吹扫喷头;
排气气路,用于将所述晶圆盒内部的气体排出至所述壳体部件的外部。
在本公开的一些实施例中,所述壳体部件设有排气结构,所述排气结构 用于将所述壳体部件内部的气体排出至所述壳体部件的外部。
根据本公开的另一个方面,提供了一种传输腔室,包括:
腔室本体,设有用于与晶圆盒的传片口对接的传送接口;
权利要求1至10任一项所述的开门装置,能够通过同时开启或者封闭所述传片口和所述传送接口,来接通或隔离所述腔室本体的内部与所述晶圆盒的内部。
在本公开的一些实施例中,包括本公开提供的上述开门装置;
所述进气气路为设置于所述腔室本体内的进气通道,所述进气通道的入口开设在所述腔室本体的外侧,用于与所述气源相连,所述进气通道的出口开设在所腔室本体的内侧,用于在所述壳体部件处于封闭所述传片口的位置时,与所述吹扫喷头的入口对接连通;
所述排气气路为开设在所述晶圆盒的盒体内的排气通道,所述排气通道的入口与所述晶圆盒的内部连通,所述排气通道的出口开设在所述晶圆盒的盒体的外侧。
在本公开的一些实施例中,在所述腔室本体的侧壁外侧,且位于所述传送接口处设有托架,用于在所述晶圆盒的传片口与所述传送接口对接时,承载所述晶圆盒。
根据本公开的另一个方面,提供了一种半导体处理设备,包括:
反应腔室;
权利要求11至13任一项所述的传输腔室;
晶圆承载装置,用于承载晶圆,并能够在所述反应腔室与所述传输腔室之间移动;
机械手,设置在所述传输腔室中,用于在所述壳体部件处于开启所述传片口的位置,且在所述晶圆承载装置位于所述传输腔室内时,在所述晶圆盒与所述晶圆承载装置之间传递晶圆。
在本公开的一些实施例中,所述半导体处理设备为立式热处理工艺设备。
附图说明
通过以下参照附图对本公开实施例的描述,本公开的上述以及其他目的、特征和优点将更为清楚,在附图中:
图1是本公开实施例开门装置尚未将晶圆盒密封门开启的状态示意图;
图2是本公开实施例开门装置已将晶圆盒密封门开启的状态示意图;
图3是本公开另一实施例开门装置已将晶圆盒密封门开启的状态示意图。
图4是本公开实施例半导体处理设备的结构示意图;
图5是本公开实施例晶圆盒与开门装置的位置关系示意图。
符号说明
1-加热器;2-工艺管;3-工艺管密封门;4-晶圆承载装置;5-传输空间;6-保温桶;7-工艺门;8-机械手;9-晶圆盒;9A-密封门;10-晶圆;11-吹扫喷头;12-开门装置;12A-密封圈;12B-第二密封件;12D-第一密封件;12C-充气密封圈;12E-驱动器;12F-开锁机构;12G-导向机构;12H-排气结构;12K1-内环体;12M-对接板;12N-支撑架;12K2-外环体;12J-顶板;12L-侧板;13-吸附结构;P1-入口;P2-出口;14-腔室本体;15-托架;101-壳体部件;102-环体;103-第一开口;104-罩体;105-驱动机构;106-对接组件;107-传送接口。
具体实施方式
为使本公开的目的、技术方案和优点更加清楚明白,以下结合具体实施例,并参照附图,对本公开进一步详细说明。但是应该理解,这些描述只是示例性的,而并非要限制本公开的范围。此外,在以下说明中,省略了对公 知结构和技术的描述,以避免不必要地混淆本公开的概念。
本公开一实施例提供了一种开门装置,用于开启晶圆盒的传片口的密封门。图1显示了开门装置尚未将晶圆盒密封门开启的情况;图2显示了开门装置已将晶圆盒密封门开启的情况。请一并参阅图1和图2,本实施例提供的开门装置12包括壳体部件101和对接组件106。
壳体部件101用于可移动的与传输腔室的腔室本体14(即,腔室壁)对接,且位于该腔室本体14的与晶圆盒9的传片口对接的传送接口的周边位置,以能够开启或者封闭该传送接口和传片口。并且,在壳体部件101的朝向晶圆盒9的一侧设有第一开口103。对接组件106设置在该第一开口103处,用于在壳体部件101处于封闭传送接口和传片口的位置时,能够对接密封门9A并使之与晶圆盒9相分离,同时密封门9A与第一开口103密封配合,以使壳体部件101的内部形成密封空间。
由上可知,当壳体部件101处于封闭传送接口和传片口的位置时,对接组件106能够自晶圆盒9取下密封门9A,即,密封门9A与晶圆盒9相分离,但此时壳体部件101仍然封闭传送接口和传片口,使晶圆盒9的内部和与之对接的腔室内部保持隔离状态。对接组件106在取下密封门9A后能够保持住密封门9A,同时密封门9A与第一开口103密封配合,以使壳体部件101的内部形成密封空间。这样,对接组件106的运动部件被密封在该密封空间内,从而可以确保这些运动部件和其上的润滑油产生的污染物不会对晶圆盒9内部的晶圆10造成任何污染,进而有利于提高产品良率和性能。
在壳体部件101整体移动至能够开启传送接口和传片口的位置时,密封门9A能够随壳体部件101一起移动,此时晶圆盒9的内部和与之对接的腔室内部处于连通状态,在此过程中,密封门9A与第一开口103始终密封配合,以确保对接组件106的运动部件一直被密封在该密封空间内。
在本实施例中,在壳体部件101的对应传送接口的周边的位置处和/或在 腔室本体14上的位于传送接口的周边的位置处设置有第三密封件12C,用于在壳体部件101处于封闭传送接口和传片口的位置时,密封传送接口和所述传片口。具体地,壳体部件101朝向腔室本体14的一侧密封对接在腔室本体14的侧壁内侧,且位于传送接口周边的位置处,以将开门装置12内部与腔室本体14内部隔离,避免开门装置12内部对腔室本体14内部造成污染。可选的,第三密封件12C为充气密封圈。
可选的,开门装置12还包括壳体驱动机构(图中未示出),其与壳体部件101连接,用于驱动壳体部件101及其内部的对接组件106整体移动。本实施例对壳体驱动机构的类型和结构不加以限制,只要能驱动开门装置12移动即可。开门装置12的移动方向也不加以限制,可以是图1中的上下方向、或垂直于纸面的方向,只要能将晶圆盒9的传片口完全打开即可。
当然,在实际应用中,也可以不设置上述壳体驱动机构,而采用人工移动或拆除壳体部件101。在这种情况下,壳体部件101与晶圆盒9可移动的对接的方式包括二者可相对移动的方式,或者可拆卸连接的方式。
在本实施例中,对接组件106包括对接板12M和驱动机构105,其中,驱动机构105设置在壳体部件101的内部,用于驱动对接板12M移动至能够与密封门9A对接的第一位置,及在对接板12M与密封门9A对接后,驱动对接板12M移动至密封门9A能够与第一开口103密封配合的第二位置(图2显示的对接板12M所在位置)。
具体地,驱动机构105驱动对接板12M朝向靠近晶圆盒9的传片口的方向水平移动,直至对接板12M到达与密封门9A对接的第一位置,驱动机构105驱动对接板12M朝向远离晶圆盒9的传片口的方向水平移动,直至对接板12M到达密封门9A与第一开口103密封配合的第二位置。
可选的,驱动机构105包括支撑架12N、驱动器12E和导向机构12G。其中,支撑架12N固定于壳体部件101内,用于支撑驱动器12E和导向机构 12G。驱动器12E用于驱动对接板12M在上述第一位置与第二位置之间移动,该驱动器12E例如但不限于是气缸。导向机构12G用于限定对接板12M的移动方向,例如沿垂直于晶圆盒9的密封门9A的方向,即在图2中沿水平方向左右移动。借助导向机构12G,可以使对接板12M平稳运动,以实现平稳地将密封门9A开启。导向机构12G例如但不限于是直线轴承。
可选的,在对接板12M上设置有吸附结构13,用于在对接板12M位于上述第一位置时,采用吸附的方式固定密封门9A。可选的,吸附结构13包括至少一个吸附件,且设置在对接板12M朝向密封门9A的一侧,用于吸附密封门9A。在一个示例中,该吸附件采用吸盘。吸附结构13还包括设置在开门装置12外部,且与吸盘13连通的抽真空装置,用于控制吸盘13的吸附动作。在其他示例中,吸附结构13也可以使用其他类型的吸附装置,只要能够将密封门9A吸附至对接板12M上即可。
可选的,对接组件106还包括开锁机构12F,其设置于对接板12M背向密封门9A的一侧,用于锁定或解锁安装在密封门9A上的锁止机构(图中未示出)。具体地,该开锁机构12F具有可伸缩的旋转销,其可穿过对接板12M打开上述锁止结构,将密封门9A解锁。
在本实施例中,在壳体部件101上的位于第一开口103周边的位置处设置有第一密封件12D,用于在对接板12M位于上述第二位置时,密封第一开口103。具体地,在对接板12M移动至上述第二位置的过程中,密封门9A的与第一开口103相对的表面与第一密封件12D接触,并使之产生压缩变形,此时该第一密封件12D能够将密封门9A与壳体部件101的两个对接面之间的间隙密封。
在实际应用中,第一密封件12D也可以设置在密封门9A的对应第一开口103的周边的位置处,或者,第一密封件12D还可以为两个,且分别设置在壳体部件101上的位于第一开口103周边的位置处,和密封门9A的对应 第一开口103的周边的位置处。
需要说明的是,密封门9A的尺寸应大于第一开口103的尺寸,以使得密封门9A的与第一开口103相对的表面能够与壳体部件101上的位于第一开口103周边的表面对接。另外,对接板12M的尺寸应略小于第一开口103的尺寸,以使对接板12M能够自第一开口103伸入或伸出。
还需要说明的是,对于对接组件106,其包含的吸附结构13也仅是示例性说明,本公开并不以此为限。实际上,该吸附结构13可以替换为任何一种可以固定连接密封门9A的部件,例如卡接部件和旋接部件等。
在本实施例中,壳体部件101包括环体102和罩体104。其中,罩体104由闭合环形的侧板12L和封闭该侧板12L的其中一端开口的顶板12J构成,其中,侧板12L的径向截面形状可以为圆环形或者四边形等,顶板12J的形状与侧板12L的开口形状相似。顶板12J的朝向侧板12L的表面与侧板12L的其中一个端面之间设置有密封圈12A,用以对二者之间的间隙进行密封。
环体102的轴线与对接板12M相互垂直,且该环体102的环孔用作第一开口103。并且,环体102的第一端面(图1中环体102朝右的端面)与侧板12L的远离顶板12J的端面对接,且在二者之间设置有第二密封件12B,用于密封密封环体102与侧板12L之间的间隙。当然,在实际应用中,壳体部件101也可以采用一体结构形成,即,环体102和罩体104连为一体或一体成型,以避免在构成壳体部件101的各组成件之间的接合处形成间隙。
环体102的背离其第一端面的第二端面(图1中环体102朝左的端面)用于与密封门9A的背离晶圆盒9的表面对接,且在二者之间设置有上述第一密封件12D。
在本实施例中,环体102包括外环体12K2和位于该外环体12K2内侧的内环体12K1,并且,内环体12K1的轴向厚度小于外环体12K2的轴向厚度,以使内环体12K1的朝向密封门9A的表面与外环体12K2的朝向密封门 9A的表面具有高度差,以形成台阶结构。所述轴向厚度是指内环体12K1或外环体12K2在垂直于密封门9A方向上的长度,即图2中内环体12K1或外环体12K2在水平方向上的长度。
其中,内环体12K1朝向密封门9A的端面用于与密封门9A对接,且在该端面和/或密封门9A的与之对接的表面上设置有第一密封件12D。外环体12K2的两个端面分别用于与罩体104和腔室的与晶圆盒9的传片口对接的传送接口的周边侧壁密封对接。
由上可知,驱动机构105包括例如驱动器12E、导向机构12G等运动部件。在晶圆盒的密封门9A的上述开启过程中,这些运动部件不可避免地会由于运动摩擦而产生颗粒污染物。另外,这些运动部件会由润滑油进行润滑,润滑油的挥发也会产生污染物。与现有技术相比,本实施例在晶圆盒的密封门9A处于开启状态时,通过密封门9A与第一开口103密封配合,来使驱动机构105一直被密封在壳体部件101的内部形成的密封空间内,从而实现了驱动机构105的上述运动部件与晶圆盒9完全隔离,进而确保了这些运动部件和其上的润滑油产生的污染物不会对晶圆盒9内部的晶圆10造成任何污染,进而有利于提高产品良率和性能。
本公开另一实施例的开门装置,参见图3所示,其与上一实施例相比,同样包括壳体部件101和对接组件106。但是,本实施例与上一实施例的不同之处在于,在对接组件106对接密封门9A并使之与晶圆盒9相分离时,是由对接组件106的对接板12M与第一开口103密封配合,以使壳体部件101的内部形成密封空间。
具体地,在本实施例中,环体102的内环体12K1的朝向密封门9A的表面与其外环体12K2的朝向密封门9A的表面之间的高度差相比于上一实施例更大,以使内环体12K1相比于上一实施例的内环体12K1更远离晶圆盒9;同时,内环体12K1的内径相比于上一实施例的内环体12K1更小,以能够与 对接板12M对接。这样,本实施例的对接板12M和密封门9A在移动至与上一实施例相同的第二位置(图2显示的对接板12M所在位置)时,能够使对接板12M与第一开口103密封配合,即,内环体12K1朝向对接板12M的端面用于与对接板12M对接,而密封门9A不与内环体12K1接触。并且,在该端面和/或对接板12M的与之对接的表面上设置有第一密封件12D。
本实施例通过对接组件106与第一开口103密封配合,可以增大对第一密封件12D施加的压紧力,从而可以实现更好的密封效果。
在本实施例中,优选的,开门装置还包括吹扫机构,用于在壳体部件101处于封闭传送接口和传片口的位置,且在密封门9A与晶圆盒9相分离时,向晶圆盒9的内部通入吹扫气体,同时排出晶圆盒9内部的气体。该吹扫气体例如为氮气等的惰性气体。
借助上述吹扫机构向晶圆盒9的内部通入吹扫气体,可以使晶圆盒9内的颗粒等杂质能够随吹扫气体排出晶圆盒9,从而可以提高晶圆盒9内部的洁净度,而且在吹扫过程中,由于壳体部件101处于封闭传送接口和传片口的位置,此时晶圆盒9的内部和与之对接的腔室内部保持隔离状态,这使得晶圆盒9内的气体不会泄漏至腔室内部。同时,由于密封门9A或对接组件106与第一开口103密封配合,这使得吹扫气体不会进入到开门装置12内部,从而可以保证良好的气体分压和层流,进而实现更加良好的净化吹扫效果。在开门装置12完成密封门9A的开启及净化吹扫后,可以使吸附有密封门9A的开门装置12移动至开启传送接口和传片口的位置处,以实现晶圆盒9与腔室内部的连通。
在本实施例中,吹扫机构包括吹扫喷头11、进气气路和排气气路,其中,吹扫喷头11设置在壳体部件101朝向晶圆盒9的一侧,用于向晶圆盒9的内部喷出吹扫气体。具体来说,吹扫喷头11设置在环体102朝向晶圆盒9的一侧,例如设置于板状件102的外环体12K2,用于向晶圆盒9的内部吹扫惰性 气体。进气气路分别与吹扫喷头11和气源(图中未示出)连接,用于将气源提供的吹扫气体输送至吹扫喷头11。排气气路用于将晶圆盒9内部的气体排出至壳体部件101的外部。
可选的,壳体部件101设有排气结构12H,该排气结构12H用于将壳体部件101内部的气体排出至壳体部件101的外部。该排气结构12H例如为排气管。借助排气结构12H,可以将壳体部件101内部各运动部件及润滑装置产生的污染物排出,从而保证壳体部件101内部的洁净。
本公开又一实施例提供了一种传输腔室,用于在晶圆盒与反应腔室之间传输晶圆,请一并参见图2和图4所示,该传输腔室包括腔室本体14以及上述各个实施例提供的开门装置12,其中,腔室本体14设有用于与晶圆盒9的传片口对接的传送接口107。该开门装置12能够同时开启或者封闭晶圆盒9的传片口和传送接口107,能够通过同时开启或者封闭该传片口和传送接口107,来接通或隔离腔室本体14的内部与晶圆盒9的内部。
具体来说,腔室本体14的内部形成有密闭的传输空间5,且在该腔室本体14的侧壁上设有用于与晶圆盒9的传片口对接的传送接口107。开门装置12设置在腔室本体14的传送接口107所在侧壁内侧,且是可移动的。例如,在壳体驱动机构的驱动下,开门装置12可沿腔室本体14的传送接口107所在侧壁移动,以能够移动至开启或封闭传送接口107的位置处。容易理解,由于晶圆盒9的传片口与传送接口107对接,在密封门9A被开门装置12自晶圆盒9取下之后,在开门装置12开启或封闭传送接口107同时,晶圆盒9的传片口同样被开启或封闭,即,晶圆盒9的传片口与传输空间5连通或隔离。
在本实施例中,晶圆盒9的与腔室本体14的侧壁外侧对接的表面设置有密封圈,用于对二者之间的间隙进行密封。
在本实施例中,壳体部件101朝向腔室本体14的一侧密封对接在腔室 本体14的侧壁内侧,且位于传送接口107周边的位置处,具体来说,壳体部件101的环体102的外环体12K2通过第三密封件12C与腔室本体14的侧壁内侧密封,以将开门装置12内部与传输空间5隔离,避免开门装置12内部对传输空间5造成污染。
如图5所示,在腔室本体14的侧壁外侧,且位于传送接口107处设有托架15,用于在晶圆盒9的传片口与传送接口107对接时,承载晶圆盒9。
请一并参见图2、图3和图5,本实施例提供的传输腔室,还设有吹扫机构,该吹扫机构包括吹扫喷头11、进气气路和排气气路,其中,吹扫喷头11用于向晶圆盒9的内部喷出吹扫气体。
进气气路为设置于腔室本体14内的进气通道,该进气通道的入口P1开设在腔室本体14的侧壁外侧,用于与气源相连;进气通道的出口开设在腔室本体14的侧壁内侧,用于在壳体部件101处于封闭传片口的位置时,与吹扫喷头11的入口对接连通。
排气气路为开设在晶圆盒9的盒体内的排气通道,该排气通道的入口与晶圆盒9的内部连通,排气通道的出口P2开设在晶圆盒9的盒体的外侧,同样位于腔室本体14的侧壁外侧。
开门装置12将密封门9A开启后,外部气源向进气通道的入口P1通入氮气等吹扫气体,吹扫气体经进气通道、吹扫喷头11吹入晶圆盒9内部。晶圆盒9内部的吹扫气体经排气通道、由其出口P2排出。在吹扫过程中,由于密封门9A或对接组件106与第一开口103密封配合,吹扫气体不会进入到开门装置12内部,可以保证良好的气体分压和层流,实现更加良好的净化吹扫效果。在开门装置12完成晶圆盒密封门9A的开启及净化吹扫后,吸附有密封门9A的开门装置12沿腔室本体14侧壁移动,将传送接口107和传片口完全打开,实现晶圆盒9与传输空间5的连通。
本公开另一实施例的还提供了一种半导体处理设备,该半导体处理设备 例如为立式半导体热处理设备,如图4所示,该设备包括晶圆盒9、反应腔室、上述各个实施例所述的传输腔室、支撑组件以及机械手8,该传输腔室用于在晶圆盒9与反应腔室之间传输晶圆10。
在本实施例中,腔室本体14顶壁开设有连通反应腔室的顶部传送接口。该反应腔室包括:工艺管2、包围工艺管2的加热器1以及支撑组件。工艺管2底端设有密封门3,当密封门3关闭时,工艺管2与腔室本体14隔离,当密封门3打开后,工艺管2经该顶部传送接口与腔室本体14连通。
支撑组件包括:承载晶圆10的晶圆承载装置4、支撑晶圆承载装置4的保温桶6、工艺门7以及升降装置。升降装置可驱动晶圆承载装置4、保温桶6和工艺门7整体移动。当工艺管密封门3打开后,支撑组件可由腔室本体14进入工艺管2,或者由工艺管2进入腔室本体14。
在工艺开始前,开门装置12是将传送接口107关闭的,晶圆10放置于晶圆盒9内部。当本实施例的传输腔室工作时,晶圆盒9被传送到托架15上,且与腔室本体14侧壁外侧密封对接,同时晶圆盒9的传片口与传送接口107对接。在开门装置12将晶圆盒密封门9A开启之后,开门装置12连同晶圆盒的密封门9A一起从传送接口107移开,使传送接口107和传片口同时完全开打,此时晶圆盒9内部与腔室本体14的内部连通。
机械手8设置在传输腔室中,用于在开门装置12处于开启传片口的位置,且在晶圆承载装置4位于传输腔室内时,在晶圆盒9与晶圆承载装置4之间传递晶圆10。具体地,在晶圆盒9内部与腔室本体14的内部连通之后,机械手8将晶圆10从晶圆盒9中取出,并传送到晶圆承载装置4上。工艺管密封门3开启,升降装置驱动晶圆承载装置4、保温桶6和工艺门7向上移动进入工艺管2,工艺管密封门3关闭,晶圆10在工艺管2内进行工艺制程。工艺结束后,工艺管密封门3开启,升降装置驱动晶圆承载装置4、保温桶6和工艺门7向下移动,回到腔室本体14中的初始位置,机械手8从晶圆承载 装置4取走晶圆10并将晶圆10经传送接口107和传片口传送至晶圆盒9内部。开门装置12移动至传送接口107,将传送接口107关闭,并将晶圆盒的密封门9A关闭,晶圆盒9从腔室本体14移开,从而完成整个工艺过程。
综上所述,本实施例提供的开门装置、传输腔室和半导体处理设备的技术方案中,可以确保这些运动部件和其上的润滑油产生的污染物不会对晶圆盒9内部的晶圆10造成任何污染,进而有利于提高产品良率和性能。
以上所述的具体实施例,对本公开的目的、技术方案和有益效果进行了进一步详细说明,所应理解的是,以上所述仅为本公开的具体实施例而已,并不用于限制本公开,凡在本公开的精神和原则之内,所做的任何修改、等同替换、改进等,均应包含在本公开的保护范围之内。
还需要说明的是,实施例中提到的方向用语,例如“上”、“下”、“前”、“后”、“左”、“右”等,仅是参考附图的方向,并非用来限制本公开的保护范围。贯穿附图,相同的元素由相同或相近的附图标记来表示。在可能导致对本公开的理解造成混淆时,将省略常规结构或构造。
除非有所知名为相反之意,本说明书及所附权利要求中的数值参数是近似值,能够根据通过本公开的内容所得的所需特性改变。具体而言,所有使用于说明书及权利要求中表示组成的含量、反应条件等等的数字,应理解为在所有情况中是受到「约」的用语所修饰。一般情况下,其表达的含义是指包含由特定数量在一些实施例中±10%的变化、在一些实施例中±5%的变化、在一些实施例中±1%的变化、在一些实施例中±0.5%的变化。
再者,单词“包含”不排除存在未列在权利要求中的元件或步骤。位于元件之前的单词“一”或“一个”不排除存在多个这样的元件。
说明书与权利要求中所使用的序数例如“第一”、“第二”、“第三”等的用词,以修饰相应的元件,其本身并不意含及代表该元件有任何的序数,也不代表某一元件与另一元件的顺序、或是制造方法上的顺序,该些序数的使 用仅用来使具有某命名的一元件得以和另一具有相同命名的元件能做出清楚区分。
类似地,应当理解,为了精简本公开并帮助理解各个公开方面中的一个或多个,在上面对本公开的示例性实施例的描述中,本公开的各个特征有时被一起分组到单个实施例、图、或者对其的描述中。然而,并不应将该公开的方法解释成反映如下意图:即所要求保护的本公开要求比在每个权利要求中所明确记载的特征更多的特征。更确切地说,如下面的权利要求书所反映的那样,公开方面在于少于前面公开的单个实施例的所有特征。因此,遵循具体实施方式的权利要求书由此明确地并入该具体实施方式,其中每个权利要求本身都作为本公开的单独实施例。

Claims (15)

  1. 一种开门装置,用于开启或关闭晶圆盒的密封门,其特征在于,所述开门装置包括:
    壳体部件,用于可移动的与传输腔室的腔室壁对接,且位于该腔室壁的与所述晶圆盒的传片口对接的传送接口的周边位置,以能够开启或者封闭所述传送接口和所述晶圆盒的传片口,且在所述壳体部件的朝向所述晶圆盒的一侧设有第一开口;
    对接组件,设置在所述第一开口处,用于在所述壳体部件处于封闭所述传送接口和所述传片口的位置时,能够对接所述密封门并使之与所述晶圆盒相分离,并且所述密封门或所述对接组件与所述第一开口密封配合,以使所述壳体部件的内部形成密封空间。
  2. 如权利要求1所述的开门装置,其特征在于,所述对接组件包括:
    对接板;
    驱动机构,设置在所述壳体部件的内部,用于驱动所述对接板移动至能够与所述密封门对接的第一位置,及在所述对接板与所述密封门对接后,驱动所述对接板移动至所述密封门或所述对接板与所述第一开口密封配合的第二位置。
  3. 如权利要求2所述的开门装置,其特征在于,在所述对接板上设置有吸附结构,用于在所述对接板位于所述第一位置时,采用吸附的方式固定所述密封门。
  4. 如权利要求2所述的开门装置,其特征在于,在所述密封门或所述对接板的对应所述第一开口的周边的位置处,和/或在所述壳体部件上的位于所述第一开口周边的位置处设置有第一密封件,用于在所述对接板位于所述 第二位置时,密封所述第一开口。
  5. 如权利要求4所述的开门装置,其特征在于,所述壳体部件包括环体和罩体,其中,
    所述罩体的开口所在端面与所述环体的第一端面对接,且在二者之间设置有第二密封件;
    所述环体的环孔用作所述第一开口,且所述环体的背离所述第一端面的第二端面用于与所述密封门或所述对接板的背离所述晶圆盒的表面对接,且在二者之间设置有所述第一密封件。
  6. 如权利要求1-5任意一项所述的开门装置,其特征在于,所述开门装置还包括:
    壳体驱动机构,与所述壳体部件连接,用于驱动所述壳体部件及其内部的所述对接组件整体移动。
  7. 如权利要求1-5任意一项所述的开门装置,其特征在于,在所述壳体部件的对应所述传送接口的周边的位置处,和/或在所述传输腔室的腔室壁上的位于所述传送接口的周边的位置处设置有第三密封件,用于在所述壳体部件处于封闭所述传送接口和所述传片口的位置时,密封所述传送接口和所述传片口。
  8. 如权利要求1-5任意一项所述的开门装置,其特征在于,所述开门装置还包括:
    吹扫机构,用于在所述壳体部件处于封闭所述传片口的位置,且在所述密封门与所述晶圆盒相分离时,向所述晶圆盒的内部通入吹扫气体,同时排出所述晶圆盒内部的气体。
  9. 如权利要求8所述的开门装置,其特征在于,所述吹扫机构包括:
    吹扫喷头,设置在所述壳体部件朝向所述晶圆盒的一侧,用于向所述晶圆盒的内部喷出所述吹扫气体;
    进气气路,分别与所述吹扫喷头和气源连接,用于将所述气源提供的所述吹扫气体输送至所述吹扫喷头;
    排气气路,用于将所述晶圆盒内部的气体排出至所述壳体部件的外部。
  10. 如权利要求1所述的开门装置,其特征在于,所述壳体部件设有排气结构,所述排气结构用于将所述壳体部件内部的气体排出至所述壳体部件的外部。
  11. 一种传输腔室,其特征在于,包括:
    腔室本体,设有用于与晶圆盒的传片口对接的传送接口;
    权利要求1至10任一项所述的开门装置,能够通过同时开启或者封闭所述传片口和所述传送接口,来接通或隔离所述腔室本体的内部与所述晶圆盒的内部。
  12. 如权利要求11所述的传输腔室,其特征在于,包括权利要求9所述的开门装置;
    所述进气气路为设置于所述腔室本体内的进气通道,所述进气通道的入口开设在所述腔室本体的外侧,用于与所述气源相连,所述进气通道的出口开设在所腔室本体的内侧,用于在所述壳体部件处于封闭所述传片口的位置时,与所述吹扫喷头的入口对接连通;
    所述排气气路为开设在所述晶圆盒的盒体内的排气通道,所述排气通道的入口与所述晶圆盒的内部连通,所述排气通道的出口开设在所述晶圆盒的盒体的外侧。
  13. 如权利要求11所述的传输腔室,其特征在于,在所述腔室本体的侧壁外侧,且位于所述传送接口处设有托架,用于在所述晶圆盒的传片口与所述传送接口对接时,承载所述晶圆盒。
  14. 一种半导体处理设备,其特征在于,包括:
    反应腔室;
    权利要求11至13任一项所述的传输腔室;
    晶圆承载装置,用于承载晶圆,并能够在所述反应腔室与所述传输腔室之间移动;
    机械手,设置在所述传输腔室中,用于在所述壳体部件处于开启所述传片口的位置,且在所述晶圆承载装置位于所述传输腔室内时,在所述晶圆盒与所述晶圆承载装置之间传递晶圆。
  15. 如权利要求14所述半导体处理设备,其特征在于,所述半导体处理设备为立式热处理工艺设备。
PCT/CN2019/085678 2018-05-11 2019-05-06 开门装置、传输腔室和半导体处理设备 WO2019214578A1 (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
SG11202010920WA SG11202010920WA (en) 2018-05-11 2019-05-06 Door opener, transport chamber and semiconductor processing device
JP2021512986A JP7223123B2 (ja) 2018-05-11 2019-05-06 ドアオープナ、輸送チャンバ、及び半導体処理デバイス
KR1020207031997A KR102583239B1 (ko) 2018-05-11 2019-05-06 도어 개방 장치, 이송 챔버 및 반도체 처리 디바이스

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN201810453171.8A CN110473819B (zh) 2018-05-11 2018-05-11 一种开门装置、传输腔室和半导体处理设备
CN201810453171.8 2018-05-11

Publications (1)

Publication Number Publication Date
WO2019214578A1 true WO2019214578A1 (zh) 2019-11-14

Family

ID=68466897

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/CN2019/085678 WO2019214578A1 (zh) 2018-05-11 2019-05-06 开门装置、传输腔室和半导体处理设备

Country Status (6)

Country Link
JP (1) JP7223123B2 (zh)
KR (1) KR102583239B1 (zh)
CN (1) CN110473819B (zh)
SG (1) SG11202010920WA (zh)
TW (1) TWI704638B (zh)
WO (1) WO2019214578A1 (zh)

Cited By (171)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN114779374A (zh) * 2022-03-16 2022-07-22 苏州苏纳光电有限公司 用于光通信芯片制造中回流工艺的自动设备
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
CN115404470A (zh) * 2022-08-24 2022-11-29 江苏天芯微半导体设备有限公司 一种密封内衬、半导体设备平台及维护方法
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
CN116259563A (zh) * 2022-12-25 2023-06-13 北京屹唐半导体科技股份有限公司 反应腔室及晶圆刻蚀装置
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12020938B2 (en) 2022-07-07 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111725098B (zh) * 2020-06-09 2023-09-08 北京北方华创微电子装备有限公司 真空结构及新型晶圆传送盒门打开机构
CN111968934B (zh) * 2020-08-21 2024-05-17 北京北方华创微电子装备有限公司 半导体加工设备
CN112594887B (zh) * 2020-12-15 2021-12-03 珠海格力电器股份有限公司 空调控制方法及装置、空调器设备
CN115932163A (zh) * 2021-08-10 2023-04-07 江苏鲁汶仪器股份有限公司 一种边缘扫描装置及金属沾污检测设备
CN113739946B (zh) * 2021-08-30 2024-03-26 北京北方华创微电子装备有限公司 温度检测装置以及半导体热处理设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020069933A1 (en) * 2000-12-11 2002-06-13 Hirata Corporation Foup opener
KR20070103134A (ko) * 2006-04-18 2007-10-23 뉴영엠테크 주식회사 반도체 제조장치의 질소가스충전용 후프오프너
CN103280419A (zh) * 2013-05-31 2013-09-04 中国电子科技集团公司第十三研究所 一种用于存放薄晶圆片的周转存储盒
CN106033737A (zh) * 2015-03-16 2016-10-19 中微半导体设备(上海)有限公司 真空锁系统及基片传送方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000277590A (ja) * 1999-03-24 2000-10-06 Kokusai Electric Co Ltd ウェハ検知方法
WO2001040087A1 (en) * 1999-12-02 2001-06-07 Asyst Technologies, Inc. Wafer transport system
JP4120285B2 (ja) * 2002-06-13 2008-07-16 東京エレクトロン株式会社 被処理体の導入ポート機構及びこれを用いた処理システム
JP2005026513A (ja) * 2003-07-03 2005-01-27 Tokyo Electron Ltd 処理装置
JP2005079250A (ja) * 2003-08-29 2005-03-24 Hitachi Kokusai Electric Inc 基板処理装置
FR2874744B1 (fr) * 2004-08-30 2006-11-24 Cit Alcatel Interface sous vide entre une boite de mini-environnement et un equipement
KR100917147B1 (ko) * 2007-06-29 2009-09-15 (주) 예스티 산화 방지용 차폐기능을 가진 풉 오프너
JP2009088437A (ja) * 2007-10-03 2009-04-23 Tokyo Electron Ltd 被処理体の導入ポート機構及び処理システム
JP4343253B1 (ja) * 2008-03-27 2009-10-14 Tdk株式会社 密閉容器の蓋開閉装置及び該開閉装置を用いたガス置換装置
JP5617708B2 (ja) * 2011-03-16 2014-11-05 東京エレクトロン株式会社 蓋体開閉装置
JP2012204645A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd 蓋体開閉装置
JP5370785B2 (ja) * 2011-07-08 2013-12-18 Tdk株式会社 ロードポート装置
JP5993252B2 (ja) * 2012-09-06 2016-09-14 東京エレクトロン株式会社 蓋体開閉装置及びこれを用いた熱処理装置、並びに蓋体開閉方法
JP6106501B2 (ja) * 2013-04-12 2017-04-05 東京エレクトロン株式会社 収納容器内の雰囲気管理方法
US9691640B2 (en) * 2013-09-11 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for cleaning load ports of semiconductor process tools
KR101674107B1 (ko) * 2014-11-12 2016-11-22 주식회사 아이에스티이 기판용기 커버 개폐장치
JP6536090B2 (ja) * 2015-03-06 2019-07-03 シンフォニアテクノロジー株式会社 搬送装置
CN107785295A (zh) * 2017-11-29 2018-03-09 上海大族富创得科技有限公司 一种喷淋保湿晶圆门装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020069933A1 (en) * 2000-12-11 2002-06-13 Hirata Corporation Foup opener
KR20070103134A (ko) * 2006-04-18 2007-10-23 뉴영엠테크 주식회사 반도체 제조장치의 질소가스충전용 후프오프너
CN103280419A (zh) * 2013-05-31 2013-09-04 中国电子科技集团公司第十三研究所 一种用于存放薄晶圆片的周转存储盒
CN106033737A (zh) * 2015-03-16 2016-10-19 中微半导体设备(上海)有限公司 真空锁系统及基片传送方法

Cited By (195)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US12020934B2 (en) 2021-04-16 2024-06-25 Asm Ip Holding B.V. Substrate processing method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114779374B (zh) * 2022-03-16 2024-06-04 苏州苏纳光电有限公司 用于光通信芯片制造中回流工艺的自动设备
CN114779374A (zh) * 2022-03-16 2022-07-22 苏州苏纳光电有限公司 用于光通信芯片制造中回流工艺的自动设备
US12020938B2 (en) 2022-07-07 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
CN115404470A (zh) * 2022-08-24 2022-11-29 江苏天芯微半导体设备有限公司 一种密封内衬、半导体设备平台及维护方法
CN116259563B (zh) * 2022-12-25 2024-01-23 北京屹唐半导体科技股份有限公司 反应腔室及晶圆刻蚀装置
CN116259563A (zh) * 2022-12-25 2023-06-13 北京屹唐半导体科技股份有限公司 反应腔室及晶圆刻蚀装置

Also Published As

Publication number Publication date
CN110473819B (zh) 2020-12-08
TW201947695A (zh) 2019-12-16
KR102583239B1 (ko) 2023-09-26
SG11202010920WA (en) 2020-12-30
JP7223123B2 (ja) 2023-02-15
CN110473819A (zh) 2019-11-19
JP2021521656A (ja) 2021-08-26
KR20200139797A (ko) 2020-12-14
TWI704638B (zh) 2020-09-11

Similar Documents

Publication Publication Date Title
WO2019214578A1 (zh) 开门装置、传输腔室和半导体处理设备
TWI706814B (zh) 具有基板載具及清洗腔室環境控制的基板處理系統、設備及方法
US7422653B2 (en) Single-sided inflatable vertical slit valve
US8171964B2 (en) Apparatus and method for opening/closing lid of closed container, gas replacement apparatus using same, and load port apparatus
TWI407494B (zh) 半導體處理裝置
US9598767B2 (en) Gas processing apparatus
TW201424860A (zh) 基板處理裝置及基板處理方法
US20140157722A1 (en) Lid opening/closing system for closed container, and substrate processing method using the same
TWI523140B (zh) Loading port
TW201903838A (zh) 用於晶圓容器的氣體供應裝置
JPH08172120A (ja) 半導体装置の製造方法および搬送インターフェース装置
US6592679B2 (en) Clean method for vacuum holding of substrates
WO2005004228A1 (ja) 処理装置
JP2002076093A (ja) Foupオープナ
JP2007067101A (ja) 基板処理装置
KR100747513B1 (ko) 반도체 제조장치
JPH11182699A (ja) ゲートバルブ
JP2011061156A (ja) 基板処理装置、ガス導入装置、及び半導体装置の製造方法
TWI722176B (zh) 裝載埠及晶圓的搬送方法
JP2010027810A (ja) 真空搬送装置
US20230061423A1 (en) Substrate processing apparatus
KR101421547B1 (ko) 수직형 기판 처리 장치와 방법
US20230033715A1 (en) Substrate processing apparatus
JP6799280B2 (ja) ロードポート装置
JP2004036760A (ja) 真空用ゲート弁

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19800723

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20207031997

Country of ref document: KR

Kind code of ref document: A

ENP Entry into the national phase

Ref document number: 2021512986

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 19800723

Country of ref document: EP

Kind code of ref document: A1