WO2010105585A1 - Substratbearbeitungsanlage und substratbearbeitungsverfahren - Google Patents

Substratbearbeitungsanlage und substratbearbeitungsverfahren Download PDF

Info

Publication number
WO2010105585A1
WO2010105585A1 PCT/DE2009/000383 DE2009000383W WO2010105585A1 WO 2010105585 A1 WO2010105585 A1 WO 2010105585A1 DE 2009000383 W DE2009000383 W DE 2009000383W WO 2010105585 A1 WO2010105585 A1 WO 2010105585A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
process chamber
substrate processing
etching
plasma
Prior art date
Application number
PCT/DE2009/000383
Other languages
English (en)
French (fr)
Other versions
WO2010105585A8 (de
Inventor
Hermann Schlemm
Matthias Uhlig
Original Assignee
Roth & Rau Ag
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Roth & Rau Ag filed Critical Roth & Rau Ag
Priority to US13/055,745 priority Critical patent/US20110124144A1/en
Priority to PCT/DE2009/000383 priority patent/WO2010105585A1/de
Priority to JP2012500061A priority patent/JP2012521075A/ja
Priority to EP09775849A priority patent/EP2409313A1/de
Priority to KR1020107021459A priority patent/KR20110138142A/ko
Priority to CN2009801132753A priority patent/CN102007565A/zh
Publication of WO2010105585A1 publication Critical patent/WO2010105585A1/de
Publication of WO2010105585A8 publication Critical patent/WO2010105585A8/de

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0236Special surface textures
    • H01L31/02363Special surface textures of the semiconductor body itself, e.g. textured active layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy

Definitions

  • the present invention relates to a substrate processing system which has at least one evacuable process chamber, in which at least one substrate carrier with at least one substrate can be introduced, and which has a plasma generation module, at least one gas supply and at least one gas discharge.
  • the invention further relates to a substrate processing method, wherein at least one substrate carrier with at least one substrate is introduced into at least one evacuable process chamber and generates a plasma in the process chamber in a plasma process by a plasma generation module in a gas or a gas mixture and a coating, etching, surface modification and / or cleaning the substrate is made.
  • the standard solar cell technology is currently based on silicon wafers of 200 ⁇ m to 400 ⁇ m thickness. After the production of the wafers, a sawing damage must be removed from the surface, which corresponds to the removal of an approx. 5 ⁇ m thick silicon layer. Modern solar cells are additionally provided with surface textures, often on the basis of the structures defined by the sawing damage. This texture should increase the light coupling, especially at oblique incidence of light. The reflection is thereby reduced from approx. 35% to approx. 10%.
  • the wafer material is predoped to e.g. p-conducting.
  • an n-type dopant must be applied. This is done by means of phosphorus diffusion, with phosphorus diffusing into the wafer material approximately 0.5 ⁇ m deep.
  • oxide layers such as an about 60 nm to 100 nm thick PSG (phosphosilicate glass; (SiO 2 ) ix (P2 ⁇ 5) y) layer are used, which is deposited on the p-type wafer. Phosphorus diffuses into the wafer material from the PSG layer at a certain process temperature. The PSG layer is subsequently removed again before an antireflection layer, such as Si 3 N 4 , is applied to the wafer.
  • the removal of the PSG layer is usually done by wet chemical HF (hydrofluoric acid) etching.
  • Wet etching is an isotropic etching process that has the advantage of very high etch selectivity. Wet etching typically treats both sides of the wafer. For untextured solar cell wafers, treatment with 2% HF is common.
  • New solar cell concepts with a textured front often require only one treatment of the front side, so that complex changes in wet-chemical technology are required for a wet-chemical etching, which allow one-sided etching.
  • the wet chemistry consumes relatively much etching solution and it is relatively difficult to keep the process stable during the etching by constantly changing the chemistry of the process and the accumulation of the etching bath with reaction products and impurities.
  • the spent etching solutions cause disposal problems.
  • Oxide etching by plasma is predominantly by fluorine, e.g. in the reaction
  • the plasma-chemical etching of oxides on silicon is sufficiently selective like wet-chemical etching.
  • the anisotropy of the process is unfavorable for the acid-textured surfaces used in new solar cell concepts in multicrystalline wafers. Only those sites are well etched with oxides that are perpendicular to the incident reactive particles. All vertical areas and cavities already present in the acidic texture are not adequately etched due to the strong anisotropy.
  • the so-called “dead layer” which has a thickness of approximately 20 nm to approximately 50 nm, is supersaturated with charge carriers and thus can not be fully electrically activated, and the "dead layer” is preferably also to be removed.
  • Document WO 2008/943 827 proposes a dry plasma process with a C 2 F 6 -O 2 mixture as etching gas to remove the "dead layer" before the silicon nitride deposition, again resulting in problems with acid-textured surfaces due to the high anisotropy of the plasma etching process Surfaces so that either the "dead layer” is removed only unevenly or etched much more material than is necessary to remove the area with too high a phosphorus concentration.
  • DE 299 15 696 U1 describes an etching system for HF vapor etching, in which silicon wafers microstructured with an SiO 2 sacrificial layer are etched by means of HF vapor.
  • HF Steam etching the known system on separate Dampfsleymodule, which are arranged on a gripper station as a cluster and in each of which a wafer can be etched.
  • the wafers are previously cleaned in an oxygen plasma stripper.
  • a substrate processing system which has at least one evacuatable process chamber into which at least one substrate carrier with at least one substrate can be introduced, a plasma generation module, at least one gas supply and at least one gas discharge, wherein a vapor etching module is integrated in the process chamber.
  • the substrate processing system according to the invention makes it possible to carry out both a plasma process and a vapor etching on the at least one substrate within a process chamber.
  • a variety of plasma treatment and vapor etching steps come into consideration, which can be performed in different sequence in the process chamber. Accordingly, the substrate processing system according to the invention can be used for a wide variety of applications, resulting in a high efficiency of the substrate processing system by the combined process sequence of plasma and Dampfussien since time-consuming Substratathandling suitse between the plasma and the Dampfsley suitsen are not required.
  • the vapor etching module is an HF vapor etching module.
  • RF vapor etching allows for isotropic etching of silicon dioxide with a high etch selectivity to silicon.
  • the HF vapor etching module provided according to the invention is particularly suitable for etching oxide or PSG on highly textured surfaces of silicon solar cell wafers, the selectivity of chemical vapor phase etching with HF being comparable to wet-chemical HF etching processes.
  • the HF vapor etching module in contrast to wet etching processes, opens up a substantially facilitated one-sided etching of substrates. Since new, unused etching chemistry is constantly being provided for the etching process, there is no change in the time of the etching chemistry and no accumulation with reaction products and impurities, which requires continuous readjustment or complete renewal of etching baths in the case of wet-chemical processes. In addition, substantially less etching solution is consumed by a vapor etching step than in a wet etching step, so that a more cost-effective and more environmentally friendly etching process can be made available with the substrate processing system according to the invention.
  • the substrate processing installation has an etch-gas-resistant inner lining and an etching-gas-resistant substrate carrier.
  • the vapor etching module has a gas shower with a plurality of gas outlets distributed over a surface of the process chamber. This creates the possibility of being able to vapor-etch a plurality of substrates distributed over the area of the process chamber.
  • the vapor etching module is coupled to an etching vapor supply unit.
  • the etch vapor supply unit can supply the vapor etching module in the required composition with continuous and / or time-controlled metering steam.
  • the etching steam supply unit has a gas metering system and / or an etching steam generation system with a tempered space having a liquid etching substance, through which at least one carrier gas stream is passed.
  • a respective etching steam can be metered mixed with a further etching steam and / or one or more carrier gases and fed to the process chamber through the etching steam supply unit.
  • the liquid etching substance in the temperature-controlled space can be heated in such a way that an etching vapor forms, which can be entrained by the carrier gas stream and conducted into the process chamber via the etching steam supply unit.
  • the plasma generation module has at least one areal, feedable electrode in the process chamber.
  • a plurality of individual or mutually electrically connected electrodes can be provided.
  • a plurality of substrates can be processed simultaneously in the process chamber.
  • the at least one electrode above and / or below the substrates for a front and / or back side treatment of the substrates may be provided.
  • the at least one electrode can also have a counterelectrode which can be fed.
  • the housing of the process chamber which then typically has a ground connection, can also serve as the counterelectrode.
  • the substrate carrier has at least one substrate support, which has a flat support region for a peripheral region of the at least one substrate.
  • a substrate can be applied to the substrate support in such a way that, in the case of a substrate front side plasma treatment, the plasma does not attack the substrate backside or only negligibly.
  • the flat support area it is possible by the flat support area to contact the substrate so that it can be grounded, for example during a plasma treatment.
  • the substrate support has an opening within the support region.
  • this also enables a backside treatment of the substrate in the process chamber, with plasma and / or etching vapor being able to reach the substrate rear side through the opening.
  • at least one inner volume reduction component is provided in the process chamber. In this way, the internal volume of the process chamber can be reduced such that less process gas or etching steam is required in the process steps carried out in the process chamber, so that it is possible to work in a particularly cost-efficient manner.
  • the substrate processing system is a continuous-flow system.
  • a plurality of process chambers can be coupled together in the substrate processing system, which can be run through successively by substrates. This makes it possible to process a plurality of process steps or an entire technological process sequence continuously in the substrate processing plant.
  • the substrate processing plant is a plant for the production of solar cells, in which it is possible in an effective manner, nwaf he can etch even heavily textured solar cell nwaf.
  • the process chamber has a heating and / or cooling device or is coupled to a heating and / or cooling device.
  • a heating and / or cooling device in particular in the process chamber executed Dampfsley suitse can be controlled particularly well by heating and / or cooling of the process chamber interior and thus the temperature of the ⁇ tzdampfes in the process chamber.
  • the object of the invention is furthermore achieved by a substrate processing method, wherein at least one substrate carrier with at least one substrate is introduced into at least one evacuatable process chamber and generates a plasma in the process chamber by a plasma process through a plasma generation module in a gas or a gas mixture and thus a coating, Etching, surface modification and / or cleaning of the substrate is carried out and wherein in the process chamber before and / or after and / or alternately with the plasma process, a vapor etching of the at least one substrate is performed.
  • the substrate processing method according to the invention makes it possible to carry out both a plasma treatment and a vapor etching of the at least one substrate in a single process chamber.
  • plasma treatment steps may be performed immediately prior to a vapor etching step and vice versa without the substrate having to leave the process chamber.
  • This has the advantage that the As a result, the quality and effectiveness of the process steps and thus also the quality of the substrates produced by the process according to the invention can be significantly improved.
  • Elaborate intermediate handling steps and required system parts can be omitted. Lower substrate throughput times, higher substrate throughput, reduced space requirements and reduced system engineering costs are the result.
  • the vapor etching is carried out with HF-containing vapor.
  • silicon dioxide and SiO 2 -containing materials such as phosphorus silicate glass, can be etched comparatively isotropically and with high selectivity with respect to silicon using a wet etching process with the HF etching vapor.
  • the HF vapor etching method is particularly suitable for one-sided etching of substrates. This is particularly favorable for a silicon oxide or PSG etching of acid-textured solar cell wafers, in which areas which are deeper and / or covered by cavities or the like can be reliably etched in the HF vapor etching step.
  • the proposed embodiment of the method according to the invention offers the advantage that in the HF vapor etching step substantially less HF is consumed than in a wet-chemical method.
  • the HF concentration in the HF vapor can be easily controlled by simply adding and removing the HF-containing vapor in order to achieve optimum etching results.
  • substrates for the production of solar cells are processed with the substrate processing method according to the invention.
  • substrates for the production of solar cells are processed with the substrate processing method according to the invention.
  • the substrate processing method according to the invention there is a constantly increasing demand for single-sided technologies, especially for new technologies, which makes it possible to reliably etch silicon oxide and PSG even on heavily textured surfaces.
  • the substrates used in solar cell production are becoming thinner and thinner, which makes wet etching increasingly difficult, since the thin substrates float in the etching bath and thus can not be reliably etched.
  • the method according to the invention such substrates can be readily etched isotropically from one side.
  • a high substrate throughput is ensured by the inventive method, so that a large number of solar cell wafers can be produced in short process times with reduced investment costs.
  • PSG is etched from a front side of the substrate in the at least one process chamber in an HF vapor etching step, wherein plasma oxidation of one or more surface layers of the substrate occurs in a subsequent process step in the process chamber.
  • the PSG in the RF vapor etch step, which allows single-sided isotropic and selective etching, the PSG can be reliably removed from the front of the substrate, and the etched substrate surface can be immediately covered with oxide by plasma oxidation in the subsequent process step. In this way, a defined, cleaned surface of the substrate can be created.
  • impurities and / or structural defects on the substrate surface may be buried by the oxide generated in the plasma oxidation step.
  • PSG is etched from a rear side of the substrate in the process chamber or a further process chamber in an HF vapor etching process, and in a subsequent process step in the process chamber an emitter backside etching of the substrate is carried out in a plasma etching step.
  • a vapor etching step is carried out with a vapor mixture containing KOH and HCl for etching metal ions from the substrate.
  • an O 2 -plamarin cleaning is carried out before the HF vapor etching step and / or after the emitter backside etching of the substrate.
  • O 2 purifica- tion prior to the HF vapor etch step removes organic contaminants, making subsequent HF vapor etch easier. Since organic polymers are formed in the emitter backside etching of the substrate in a plasma etching step with fluorine-containing gases, a residue-free surface can be created by the O 2 -lamine cleaning after the emitter backside etching of the substrate, for example during production of solar cell wafers is particularly well prepared for coating with an antireflection coating.
  • plasma oxidation of one or more surface layers of the substrate takes place in the process chamber or a further process chamber and in a subsequent process step an HF vapor etching of the oxidized surface layers takes place in the process chamber.
  • the plasma oxidation and the subsequent HF vapor etching the surface layers of the substrate can be removed and the substrate thus cleaned.
  • a surface of a silicon substrate can be prepared for deposition of an a-Si-PECVD layer.
  • the cleaning effect can be improved even more.
  • the dead layer can effectively be removed from a silicon substrate which has been phosphorus doped in previous process steps by PSG and from which the PSG has been etched.
  • the substrate is particularly well prepared for subsequent silicon nitride deposition since the nitride adheres well to the oxide.
  • the silicon nitride layer can be used, for example, as an antireflection layer on a solar cell wafer.
  • an O 2 plasma cleaning is etched in the process chamber or a further process chamber and subsequently in the process chamber a surface layer of the substrate in a steam etching step using HF-containing steam and reactive oxygen.
  • the surface of the substrate is first of all freed of organic impurities, so that it is particularly well prepared for the subsequent steam etching step in the process chamber.
  • a mixture containing HF-containing vapor and reactive oxygen such as ozone, is used. With the reactive oxygen, the substrate surface is oxidized, wherein almost simultaneously the oxidized layers are etched back by the HF-containing vapor from the silicon substrate.
  • the process in the process chamber can be controlled so that For example, a "dead layer" can be suitably removed from a silicon substrate doped with PSG with Phosphorus Phosphorus. thanks to the use of HF vapor, the "dead layer” can be reliably removed even from heavily textured silicon substrates.
  • This process variant can also be used for cleaning and for front and back layer removal in a substrate.
  • the substrate thus processed has an oxide layer on the surface at the end of the process. This is particularly suitable for a subsequent silicon nitride deposition, for example for producing an antireflection ons Mrs on a solar cell wafer.
  • plasma oxidation can also be carried out in the process chamber, by means of which an oxide layer is formed on the substrate surface. This is a suitable basis for a subsequent silicon nitride deposition, for example for producing an antireflection layer for a solar cell wafer.
  • air oxide is removed from a front side and / or a rear side of a silicon substrate, before and / or after the HF vapor etching step an O 2 plasma cleaning of the silicon substrate is performed in this process chamber.
  • This process is particularly suitable for high quality air-oxide removal, for example, prior to a-Si-PECVD film deposition to produce a pn junction for a solar cell wafer.
  • Figure 1 shows schematically a possible basic structure of an inventive
  • FIG. 2 shows schematically a substrate support usable in the substrate processing apparatus according to the invention, which is suitable for a front and / or rear side treatment of a substrate;
  • FIG. 3 schematically shows a further possible embodiment variant of a substrate support for a front side treatment of a substrate in a substrate processing installation according to the invention;
  • FIG. 4 schematically shows yet another variant of a device according to the invention
  • Substrate processing plant usable substrate support in the form of a hook support shows
  • Figure 5 shows schematically a schematic diagram of a usable in a substrate processing plant gas metering system according to the invention
  • FIG. 6 schematically shows a schematic diagram of an etching steam generating system which can be used in a substrate processing installation according to the invention
  • FIG. 7 schematically shows a schematic diagram of a substrate processing system according to the invention with an upstream gas metering system and a downstream exhaust gas removal system;
  • Figure 8 shows schematically an embodiment of a substrate processing system according to the invention with a plurality of process chambers
  • Figure 9 shows schematically an embodiment of a substrate processing system according to the invention in the form of a continuous system for a backside treatment of solar cell substrates
  • Figure 10 shows schematically a further embodiment of a substrate processing system according to the invention in the form of a continuous system for a front side treatment of solar cell substrates;
  • Figure 11 shows schematically an embodiment of a substrate processing method according to the invention for PSG etching on a substrate front side
  • Figure 12 shows schematically an embodiment of the substrate processing method according to the invention for PSG and emitter backside etching of a substrate
  • Figure 13 shows schematically an embodiment of a substrate processing method according to the invention for removing a "dead layer" for a production of a solar cell wafer
  • FIG. 14 schematically shows an embodiment of a substrate processing method according to the invention for removing a "dead layer" before a silicon nitride deposit for a solar cell production;
  • Figure 15 shows schematically a further embodiment of a substrate processing method according to the invention for removing a "dead layer” for a production of a solar cell wafer; 16 schematically shows a further embodiment of a substrate processing method according to the invention for removing a "dead layer” before silicon nitride deposition for producing solar cells;
  • Figure 17 shows schematically an embodiment of a substrate processing method according to the invention for an air-oxide removal before an a-Si-PECVD deposition step in a solar cell production.
  • FIG. 1 shows schematically a schematic diagram of a substrate processing installation 10 with an evacuable process chamber 20.
  • the individual elements of the process chamber 20 shown in FIG. 1 merely illustrate their operating principle and therefore are not drawn to scale and can also be located at other positions in or on the process chamber 20 are located.
  • the process chamber 20 is formed essentially of stainless steel or structural steel and has an inner lining 80 made of an etching-gas-resistant material.
  • the inner liner 80 is inert to HF and formed, for example, graphite, pure Al 2 O 3 or Teflon-like polymers.
  • the inner liner 80 may be formed by an etching gas resistant chamber coating or also by plates mounted to the chamber inner wall.
  • the process chamber 20 has both at its input and at its output in each case a gate 27 with an openable and closable valve flap 23, through which an interior 29 of the process chamber 20 is accessible from the outside or via which the process chamber 20 with other process chambers the substrate processing system 10 may be connected.
  • the process chamber 20 furthermore has at least one gas feed 61, at least one gas discharge 62 with a vacuum pump 24 and a heating and / or cooling device 26.
  • a plasma generation module 50 with one or more flat electrodes 52 is provided in an upper area.
  • Each of the electrodes 52 is electrically contacted, wherein the electrodes 52 each individually with a potential can be fed or also connected together.
  • the plasma generation module 50 may also include one or more other plasma generation elements, such as microwave rods.
  • the plasma generation module 50 has an ICP (Inductive Coupled Plasma) module, wherein the actual plasma source can also be located outside the process chamber 20.
  • ICP Inductive Coupled Plasma
  • a vapor etching module 70 which in the exemplary embodiment shown is an HF vapor etching module, is integrated in the process chamber 20, which has a gas shower 71 with a plurality of gas outlets 72 distributed over a surface of the process chamber 20 in an upper region of the process chamber 20.
  • the vapor etching module 70 is coupled via the at least one gas feed 61 to an etching steam supply unit 90, which is described in more detail by means of examples in FIGS. 5 to 7.
  • At least one substrate carrier 30 with at least one substrate 40 can be introduced into the process chamber 20 via the gate 27.
  • the substrate carrier 30 can be discharged from the process chamber 20 via the gate 27 at the end of the process chamber 20 again.
  • the substrate carrier 30 is made of an etching-gas-resistant material, preferably an HF-resistant material.
  • the substrate carrier 30 is formed, for example, of Al 2 O 3 .
  • the substrate carrier 30 has a plurality of substrate supports for substrates 40. Examples of possible substrate supports 31, 34, 38 are shown in FIGS. 2 to 4 and described in more detail below.
  • the substrate carrier 30 is guided on transport rollers 25, which preferably also consist of an etching-gas-resistant material or are coated with such.
  • an inner volume reduction member 81 is provided, which is formed in the embodiment shown, for example, AI 2 O 3 and reduces the inner volume of the interior 29 of the process chamber 20 such that for infesting the interior 29th only a correspondingly small amount of process gas or etching steam, in particular for the filling of the part of the process chamber interior 29 located above the substrates 40, must be introduced into the process chamber 20.
  • the substrate support 31 has a flat support region 32 for a peripheral region 43 of a substrate 40. This allows the substrate 40 at its periphery be placed on the flat support area 32.
  • the flat support can largely prevent the plasma from reaching the substrate rear side 42 in a treatment of the substrate front side 41.
  • the substrate support 31 has an opening 33 within the support region 32. As a result, a treatment of the substrate back 42 is possible.
  • FIG. 3 schematically shows a further embodiment variant of a substrate support 34, which may likewise be used in one embodiment of the substrate processing installation 10 according to the invention.
  • the substrate support 34 has on its front side a cut-out region 35, in which a substrate 40 can be inserted.
  • the substrate 40 lies flat on a closed plane 36, which is bounded laterally by a side wall 37 of the cut-out region 35, so that the substrate 40 can not slip in its applied position on the substrate support 34.
  • FIG. 4 schematically shows a further possible embodiment of a substrate support 38, as it can be used in one embodiment of the substrate processing system according to the invention.
  • the substrate support 38 has hook elements 39, on which a substrate 40 can be placed.
  • the substrate support 38 can be used for example for two-sided processes.
  • the etching steam supply unit 90 has a gas metering system 91 with a mass flow controller, the gas metering system 91 shown having a supply line 96 for carrier gas, such as nitrogen, and at least one supply line 97 for etching steam, such as HF-containing steam, for example. having.
  • a carrier gas-etching steam mixture is formed, which can be supplied through a line 98 of the process chamber 20.
  • FIG. 6 schematically shows a further schematic diagram of an etching steam supply unit 90 '.
  • the etching steam supply unit 90 ' has an etching steam generation system with a tempered space 94 in which a liquid etching substance 93, such as HF, is located.
  • the space 94 has a feed line 96 'through which carrier gas, such as nitrogen, into which the etching substance 93 can be conducted.
  • the carrier gas flows through the tempered liquid etching substance 93, whereby above the etching substance 93 in the space 94 a carrier gas-etching-steam mixture is formed, which can be guided through a line 98 'from the space 94 to the process chamber 20.
  • FIG. 7 schematically shows how the etching steam supply unit 90 from FIG. 5 can be coupled to the process chamber 20.
  • the carrier gas etching steam mixture or the process gas of the process chamber 20 is supplied.
  • a process pressure p ⁇ p atm or a vacuum is set in the process chamber 20.
  • the substrate 40 located in the process chamber 20 is correspondingly vapor-etched at the process pressure or in the vacuum by means of the process gas supplied through the line 98.
  • a process pressure p> p atm can also be set in the process chamber 20 so that the vapor etching process in the process chamber 20 can take place at atmospheric pressure or overpressure.
  • the pressure reduction takes place by means of a vacuum pump 24 which is provided on a gas outlet 62 of the process chamber 20.
  • the exhausted process gas can be passed through the gas removal 62 via an exhaust gas removal system 63 and thus be worked up in an environmentally sound manner.
  • the exhaust air exiting the exhaust gas removal system 63 through a gas outlet 64 has atmospheric pressure p at m.
  • FIG. 8 shows schematically an embodiment of a substrate processing installation 11 according to the invention in the form of a continuous or inline installation, which has at least two process chambers 20, 21 provided according to the invention.
  • a substrate carrier as shown in FIG. 1
  • the process chamber 20 has both a plasma generation module 50 and a vapor etching module 70, through which plasma treatments as well as vapor etching processes in one and the same process chamber 20 can be performed on one or more substrates introduced into the process chamber 20.
  • the process chamber 20 is adjoined by a further gate 27, by means of which the substrates processed in the process chamber 20 are moved into a further process chamber 21 on the substrate carrier.
  • a plasma generation module 50 as well as a vapor etching module 70 are likewise integrated in the process chamber 21.
  • the process chamber 21 is adjoined by a further gate 27, by means of which the substrates processed in the process chamber 21 can be brought into a further process chamber 28.
  • the further process chamber 28 may be the same or similar to the process chambers 20, 21 may be formed, but may also be designed completely different.
  • the process chamber 28 may be a deposition chamber for a silicon nitride deposition.
  • a gate 27 is provided at the end of the process chamber 28, through which the substrates 40 processed in the process chamber 28 can either be brought into the substrate processing plant 11 in a further process chamber, which is not shown here, or through which the processed substrates 40 of the substrate processing plant 11 can be removed.
  • FIG. 9 schematically shows another possible embodiment variant of a substrate processing installation 12 according to the invention in the form of a continuous or inline installation for the production of solar cells.
  • the illustrated substrate processing system 12 is particularly suitable for the treatment of the back 42 of solar cell substrates.
  • the substrates 40 to be treated first pass through a gate 27 into an injection chamber 2, which is coupled to a vacuum pump 24 for evacuating the injection chamber 2.
  • a process temperature T px required for the subsequent processing is set.
  • the substrates 40 to be treated pass into a process chamber 20 which is the same or similar to the process chamber 20 of FIG. 1 and in particular has a plasma generation module 50 and a vapor etching module 70.
  • an HF vapor etching step takes place in which a PSG layer is etched from the substrate back 42. Thereafter, in the process chamber 20, emitter backside etching is performed in a RIE plasma etching step using CF 4 and O 2 to remove the parasitic emitter from the substrate back 42.
  • the interior of the process chamber 20 is evacuated by a vacuum pump 24 and a process temperature T py necessary for the subsequent processing is set.
  • the substrates 40 reach the substrate carrier 30 in a further process chamber 21 which is the same or similar to the process chamber 20 of FIG. 1 and in particular has a plasma generation module 50 and a vapor etching module 70.
  • the substrates 40 then pass into a lock 3, which can be evacuated by a vacuum pump 24 and in which the temperature of the substrates 40 can be set to about 400 ° C.
  • the substrates 40 are transported into a further process chamber 4, in which a Si 3 N 4 -PECVD deposition on the substrate back 42 is performed.
  • a Si 3 N 4 -PECVD deposition process chamber 4 is evacuated by a vacuum pump 24 and the process chamber 4 is heated to about 400 0 C.
  • the substrates 40 can then be further treated in further, subsequent process chambers 5, 6.
  • FIG. 10 shows schematically another possible embodiment variant of a substrate processing installation 13 according to the invention in the form of a continuous or inline installation for the production of solar cells.
  • the substrate processing system 13 shown is particularly suitable for treating the substrate front side 41 of solar cell substrates.
  • the substrates 40 to be processed pass by means of a substrate carrier 30 into an infeed chamber 2, which is fundamentally similar to the infeed chamber 2 of FIG. 9.
  • the substrates 40 are transported in a process chamber 20, which is the same or similar to the process chamber 20 of FIG. 1 is formed.
  • an HF vapor etching step of a PSG layer takes place from the substrate front side 41.
  • the etched substrate front side 41 is oxidized.
  • a sluice 3 joins via a gate 27 which is designed in the same or similar to the sheath 3 of FIG. 9 and in which the substrates are heated to about 400 0 C 40.
  • the substrates 40 pass through a gate 27 into a further process chamber 4, in which a Si 3 N 4 -PECVD deposition on the substrate front side 41 is made.
  • the substrates 40 can then be used in further Zesshuntn 5, 6 further treated and finally the substrate processing system 13 are removed.
  • FIG. 11 shows schematically an embodiment of a substrate processing method according to the invention, which can be carried out, for example, in the process chamber 20 of FIG. 1.
  • the method example of FIG. 11 is used for PSG etching on a substrate front side 41 of a substrate 40 for producing solar cells.
  • step 111 an O 2 plasma cleaning of the substrate front side 41 is optionally carried out.
  • a vapor etching with HF-containing vapor is carried out in order to etch a PSG layer from the substrate front side 41.
  • a vapor etching of the substrate front side 41 for example using HF and O 3 , may be performed to remove metal ions from the substrate front 41.
  • step 114 plasma oxidation of the substrate front side 41 takes place in step 114, in which a thin oxide layer is applied to it, on which, for example, a subsequently applied silicon nitride layer adheres particularly well.
  • FIG. 12 schematically shows a further possible embodiment variant of a substrate processing method according to the invention.
  • the method example of FIG. 12 is for PSG and emitter backside etching of solar cell substrates.
  • a first method step 121 of the method of FIG. 12 an O 2 plasma cleaning of a substrate rear side 42 of a substrate 40 is optionally carried out.
  • a subsequent step 122 an HF vapor etching of a PSG layer is carried out from the substrate rear side 42.
  • a subsequent step 123 for example, an HF and O 3 vapor etching of metal ions on the substrate back 42 can take place.
  • step 124 emitter backside etching is performed using F- or Cl-containing etch gases and O 2 in a plasma etch step in the process chamber 20. Subsequently, in a step 125, optionally an O 2 plasma cleaning of the substrate rear side 42 can again be carried out.
  • FIG. 13 shows schematically a further embodiment variant of a substrate processing method according to the invention, which is used both as a cleaning method and as a method of cleaning
  • a first method step 131 plasma oxidation of a substrate front and / or substrate rear side 41, 42 takes place.
  • the plasma oxidation step 131 one or more surface layers of the substrate front and / or substrate back side 41, 42 are formed oxidized, which are subsequently etched by means of HF-containing steam in a method step 132.
  • the steps 131 and 132 can be performed several times alternately.
  • the starting substrates of the process illustrated in FIG. 14 are silicon substrates in which a deposition of a PSG layer for a subsequent phosphorus diffusion 142 has taken place in a step 141 and in which the PSG layer has subsequently been removed in a step 143.
  • a plasma oxidation takes place in which one or more surface layers of the substrate front and / or substrate back side 41, 42 are oxidized.
  • a vapor etch with HF-containing vapor is carried out to remove the oxidized surface layers.
  • the plasma oxidation step 144 and the HF vapor etching step 145 are performed several times in succession. As a result, piece by piece, the so-called "dead layer" is removed which already exists on the surface of the silicon substrates due to the phosphorus diffusion.
  • plasma oxidation is carried out in method step 146 of FIG. 14, whereby an oxide layer is formed on the surface of the substrates 40 on which a silicon nitride layer subsequently deposited in step 147 adheres particularly well.
  • a further embodiment variant of the substrate processing method according to the invention which can be used, for example, for the surface cleaning of solar cell substrates.
  • substrates 40 are exposed to an O 2 plasma cleaning and subsequently etched in a vapor etching step 152 with a vapor mixture which contains HF and reactive oxygen, such as, for example, ozone.
  • a suitable adjustment of the concentration of the reactive oxygen in the vapor mixture either an oxidation or, by the HF vapor, an etching of an oxide layer on the substrate surface can take place.
  • a "dead layer" of solar cell substrates are removed or just cleaned the surface of substrates and then in a process step 153 an a-Si-PECVD layer are deposited.
  • FIG. 16 schematically shows a further embodiment variant of the substrate processing method according to the invention, which is based on the method steps of the method from FIG. 15.
  • a first method step 161 an O 2 plasma cleaning is carried out.
  • a vapor etching step is carried out using a vapor mixture containing HF and reactive oxygen.
  • a "dead layer" can be removed, followed by a plasma oxidation in method step 163, whereby, for example, a substrate for solar cell production is well prepared for a subsequent silicon nitride deposition in step 164.
  • FIG. 17 schematically shows a further embodiment variant of the substrate processing method according to the invention for the removal of air oxide, for example before an a-Si-ECVD deposition step.
  • step 171 an O 2 plasma cleaning is first carried out.
  • step 172 air oxide is etched from substrates 40 in a vapor etching step using HF-containing vapor.
  • the air oxide etch in step 172 may be from a substrate front 41 and / or a substrate back 42.
  • an optional O 2 - plasma cleaning can be made.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Photovoltaic Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

Substratbearbeitungsanlage, welche wenigstens eine evakuierbare Prozesskammer (20) aufweist, in welche wenigstens ein Substratträger (30) mit wenigstens einem Substrat (40) einbringbar ist, und welche ein Plasmaerzeugungsmodul (50), wenigstens eine Gaszuführung (61) und wenigstens eine Gasabführung aufweist (62). Ferner ein Substratbearbeitungsverfahren, wobei in wenigstens eine evakuierbare Prozesskammer wenigstens ein Substratträger mit wenigstens einem Substrat eingebracht wird und in der Prozesskammer in einem Plasmaprozess durch ein Plasmaerzeugungsmodul in einem Gas oder einem Gasgemisch ein Plasma erzeugt und eine Beschichtung, Ätzung, Oberflächenmodifizierung und/oder Reinigung des Substrates vorgenommen wird. Es ist die Aufgabe der vorliegenden Erfindung stark oberflächentexturierte Substrate mit hohem Durchsatz und hoher Qualität isotrop zu ätzen. Die Aufgabe wird einerseits durch eine Substratbearbeitungsanlage der genannten Gattung gelöst, bei welcher in der Prozesskammer ein Dampfätzmodul (70) integriert ist. Die Aufgabe wird ferner durch ein Substratbearbeitungsverfahren der genannten Gattung gelöst, bei welchem in der Prozesskammer vor und/oder nach und/oder abwechselnd mit dem Plasmaprozess eine Dampfätzung des wenigstens einen Substrates durchgeführt wird.

Description

Substratbearbeitungsanlage und Substratbearbeitungsverfahren
Die vorliegende Erfindung betrifft eine Substratbearbeitungsanlage, welche wenigstens eine evakuierbare Prozesskammer aufweist, in welche wenigstens ein Substratträger mit wenigstens einem Substrat einbringbar ist, und welche ein Plasmaerzeugungsmodul, wenigstens eine Gaszuführung und wenigstens eine Gasabführung aufweist. Die Erfindung betrifft ferner ein Substratbearbeitungsverfahren, wobei in wenigstens eine evakuierbare Prozesskammer wenigstens ein Substratträger mit wenigstens einem Substrat eingebracht wird und in der Prozesskammer in einem Plasmaprozess durch ein Plasmaerzeugungsmodul in einem Gas oder einem Gasgemisch ein Plasma erzeugt und eine Beschichtung, Ätzung, Oberflächenmodifizierung und/oder Reinigung des Substrates vorgenommen wird.
Anlagen und Verfahren der oben genannten Gattung sind in der Mikroelektronik und Mik- romechanik zur Ausführung von Plasmabeschichtungs-, Plasmaätz-, Plasmaoxidations-, Oberflächenhydrophilisierungs- und -hydrophobisierungs- sowie Plasmareinigungsprozessen für vielfältige Anwendungsfälle bekannt. Unter anderem werden derartige Anlagen und Verfahren auch bei der Herstellung von Solarzellen verwendet.
Die Solarzellenindustrie befindet sich derzeit in einer dynamischen Entwicklung. Während Rekordsolarzellen auf Siliziumbasis mit einem Wirkungsgrad von 24,7 % bereits im Jahre 2000 hergestellt werden konnten, erreichen Silizium-Solarzellen aus der Massenproduktion Wirkungsgrade von 16 % bis 18 % für monokristalline Solarzellen und 14 % bis 16 % für multikristalline Zellen.
Die Standard-Solarzellentechnologie beruht derzeit auf Siliziumwafern von 200 μm bis 400 μm Dicke. Nach der Herstellung der Wafer muss ein Sägeschaden von der Oberfläche entfernt werden, was der Entfernung einer ca. 5 μm dicken Siliziumschicht entspricht. Moderne Solarzellen werden zusätzlich, oft auf Grundlage der durch den Sägeschaden vorgegebenen Strukturen, mit Oberflächentexturen versehen. Diese Textur soll die Lichteinkopplung erhöhen, insbesondere bei schrägem Lichteinfall. Die Reflexion wird hierdurch von ca. 35 % auf ca. 10 % verringert.
Die Entfernung des Sägeschadens und die Texturerzeugung erfolgt durch Ätzen. Das dabei vorherrschende Verfahren beruht auf nasschemischen Prozessen in Sta- pel(batch)- oder Durchlauf(inline)- Verfahren. Das bisher vorwiegend für monokristallines Substratmaterial übliche alkalische Ätzbad unter Verwendung von KOH arbeitet kristall- richtungsabhängig, deshalb entsteht nur eine flache Textur auf multikristallinen Wafern. Um eine ausreichende Texturwirkung zu erreichen, werden neuerdings auch saure Ätzbäder, beispielsweise vorwiegend mit HF(Flusssäure) und HNO3, teilweise auch zusätzlich mit CH3COOH, verwendet. An multikristallinen Wafern entstehen damit stark textu- rierte Oberflächen.
Bei der Herstellung von Solarzellen ist das Wafermaterial so vordotiert, dass es z.B. p-leitend ist. Um einen pn-Übergang zu erzeugen, muss eine n-leitende Dotierung aufgebracht werden. Dies geschieht mittels Phosphordiffusion, wobei Phosphor ca. 0,5 μm tief in das Wafermaterial eindiffundiert.
Zur Phosphordiffusion werden beispielsweise Oxidschichten, wie eine ca. 60 nm bis 100 nm dicke PSG(Phosphorsilikatglas; (SiO2)i-x(P2θ5)y)-Schicht verwendet, die auf den p-leitenden Wafer abgeschieden wird. Aus der PSG-Schicht diffundiert bei einer bestimmten Prozesstemperatur Phosphor in das Wafermaterial. Die PSG-Schicht wird nachfolgend wieder entfernt, bevor eine Antireflexionsschicht, wie beispielsweise Si3N4, auf den Wafer aufgebracht wird.
Das Entfernen der PSG-Schicht geschieht üblicherweise durch nasschemisches HF(Flusssäure)-Ätzen. Das Nassätzen ist ein isotropes Ätzverfahren, das den Vorteil einer sehr hohen Ätzselektivität besitzt. Beim Nassätzen werden typischerweise beide Seiten des Wafers behandelt. Für untexturierte Solarzellenwafer ist eine Behandlung mit 2 %iger HF üblich.
Neue Solarzellenkonzepte mit texturierter Vorderseite bedingen vielfach jeweils nur eine Behandlung der Vorderseite, sodass für ein nasschemisches Ätzen aufwendige Umstellungen in der nasschemischen Technologie erforderlich sind, die eine einseitige Ätzung ermöglichen. Zudem verbraucht die Nasschemie relativ viel Ätzlösung und es ist relativ schwierig, während des Ätzens den Prozess durch ständige Änderung der Prozesschemie und der Anreicherung des Ätzbades mit Reaktionsprodukten und Verunreinigungen stabil zu halten. Darüber hinaus bereiten die verbrauchten Ätzlösungen Entsorgungsprobleme.
Gegenwärtig erfolgen daher Entwicklungen, die die nasschemischen Verfahren durch plasmabasierte Trockenverfahren ablösen können. Dabei werden durch ein Plasma reaktive Teilchen, z.B. reaktive Ionen wie CF3 + oder reaktive Radikale F ' O* oder CF3 * erzeugt, die auf der Oberfläche chemische Ätzwirkungen entfalten. Aus der Mikroelektronik ist vor allem das Reactive Ion Etching (RIE) bekannt, welches eine gute Selektivität, eine hohe Anisotropie und eine gleichzeitige Passivierung der nicht parallel zur Substratoberfläche verlaufenden Seitenwand durch Polymerbildung aus den Ätzgasen mittels Plasmapolymerisation aufweist.
Das Oxidätzen mittels Plasma erfolgt vorwiegend durch Fluor, wie z.B. in der Reaktion
SiO2 + CF4 → SiF4 + CO2.
Bekannt ist auch eine mikrowellenplasmaunterstützte Reaktion der Gase NH3 und NF3 zu NH4 +, das SiO2 selektiv gegenüber Silizium ätzt.
Das plasmachemische Ätzen von Oxiden auf Silizium ist wie das nasschemische Ätzen ausreichend selektiv. Für die in neuen Solarzellenkonzepten angewendeten sauer textu- rierten Oberflächen bei multikristallinen Wafern ist jedoch die Anisotropie des Verfahrens ungünstig. Es werden nur jene Stellen mit Oxiden gut geätzt, die sich senkrecht zu den auftreffenden reaktiven Teilchen befinden. Alle senkrechten Bereiche und Höhlungen, die in der sauren Textur bereits vorhanden sind, werden aufgrund der starken Anisotropie nicht ausreichend abgeätzt.
Speziell bei inline-Verfahren zum Aufbringen P-haltiger Substanzen verbleibt nach dem Diffusionsprozess und der Entfernung der PSG-Schicht in der Waferoberfläche eine zu hohe Phosphorkonzentration. Diese Schicht, die sogenannte „dead layer", welche eine Dicke von ca. 20 nm bis ca. 50 nm aufweist, ist mit Ladungsträgern übersättigt und damit nicht vollständig elektrisch aktivierbar. Die „dead layer" ist vorzugsweise auch zu entfernen. Die Druckschrift WO 2008/943 827 schlägt zur Entfernung der „dead layer" vor der Siliziumnitridabscheidung einen trockenen Plasmaprozess mit einem C2F6-O2-Gemisch als Ätzgas vor. Auch hier ergeben sich aufgrund der hohen Anisotropie des Plasmaätzverfahrens Probleme bei sauer texturierten Oberflächen, sodass entweder die „dead layer" nur ungleichmäßig entfernt wird oder wesentlich mehr Material geätzt wird als erforderlich ist, um den Bereich mit zu hoher Phosphorkonzentration abzutragen.
Ferner sind aus der Mikroelektronik zum Ätzen von Siliziumwafem Vorrichtungen und Verfahren bekannt, die dampfförmige Fluorwasserstoffsäure-Wasser-Gemische zum Ätzen von SiO2 verwenden. So ist beispielsweise in der Druckschrift DE 299 15 696 U1 eine Ätzanlage zum HF-Dampfätzen beschrieben, in welcher mit einer SiO2-Opferschicht mikrostrukturierte Siliziumwafer mittels HF-Dampf geätzt werden. Für die HF- Dampfätzung weist die bekannte Anlage separate Dampfätzmodule auf, die an einer Greiferstation als Cluster angeordnet sind und in denen jeweils ein Wafer geätzt werden kann. Um organische Materialien oder Kontaminationen von den Waferoberflächen vor dem HF-Ätzen zu entfernen, werden bei dem in der Druckschrift DE 299 15 696 U1 beschriebenen Verfahren die Wafer vorher in einem Sauerstoffplasmastripper gereinigt.
Bedingt durch die Vielzahl der Prozesskammern und die vor dem HF-Dampfätzen erforderliche Plasmareinigung ist das in der Druckschrift DE 299 15 696 U1 beschriebene Verfahren relativ umständlich und wenig produktiv. Dadurch liefert die bekannte HF- Dampfätzanlage lediglich einen geringen Durchsatz an geätzten Wafern.
Es ist daher die Aufgabe der vorliegenden Erfindung, eine Substratbearbeitungsanlage und ein Substratbearbeitungsverfahren der oben genannten Gattung zur Verfügung zu stellen, mit welchen auch stark oberflächentexturierte Substrate mit hohem Durchsatz und hoher Qualität isotrop geätzt werden können.
Die Aufgabe wird einerseits durch eine Substratbearbeitungsanlage, welche wenigstens eine evakuierbare Prozesskammer, in welche wenigstens ein Substratträger mit wenigstens einem Substrat einbringbar ist, ein Plasmaerzeugungsmodul, wenigstens eine Gaszuführung und wenigstens eine Gasabführung aufweist, wobei in der Prozesskammer ein Dampfätzmodul integriert ist, gelöst.
Die erfindungsgemäße Substratbearbeitungsanlage ermöglicht es, innerhalb einer Prozesskammer sowohl einen Plasmaprozess als auch eine Dampfätzung an dem wenigstens einen Substrat durchführen zu können. Hierbei kommt eine Vielfalt von Plasmabe- handlungs- und Dampfätzschritten in Betracht, die in unterschiedlicher Abfolge in der Prozesskammer ausgeführt werden können. Entsprechend kann die erfindungsgemäße Substratbearbeitungsanlage für verschiedenste Anwendungsfälle eingesetzt werden, wobei sich durch die kombinierte Prozessabfolge aus Plasma- und Dampfätzschritten eine hohe Effizienz der Substratbearbeitungsanlage ergibt, da hier zeitaufwendige Substrathandlingschritte zwischen den Plasma- und den Dampfätzschritten nicht erforderlich sind.
Durch die erfindungsgemäße Substratbearbeitungsanlage können die Vorteile von Plasmaschritten mit den Vorteilen von Dampfätzschritten geeignet für eine optimale Substratbearbeitung miteinander verbunden werden. Dies ist überraschenderweise trotz der vollständig unterschiedlichen Anforderungen an Plasma- und Dampfätzprozesse erfindungsgemäß möglich. In einer vorteilhaften Ausführungsform der vorliegenden Erfindung ist das Dampfätzmodul ein HF-Dampfätzmodul. Das HF-Dampfätzen ermöglicht beispielsweise ein isotropes Ätzen von Siliziumdioxid mit einer hohen Ätzselektivität gegenüber Silizium. So eignet sich das erfindungsgemäß vorgesehene HF-Dampfätzmodul insbesondere zur Ätzung von Oxid bzw. PSG auf stark texturierten Oberflächen von Silizium-Solarzellenwafern, wobei die Selektivität des chemischen Dampfphasenätzens mit HF vergleichbar mit nasschemischen HF-Ätzprozessen ist. Im Unterschied zu Nassätzprozessen eröffnet das erfindungsgemäß vorgesehene HF-Dampfätzmodul eine wesentlich erleichterte einseitige Ätzung von Substraten. Da für den Ätzprozess ständig neue, unverbrauchte Ätzchemie bereitgestellt wird, erfolgt keine zeitliche Änderung der Ätzchemie und keine Anreicherung mit Reaktionsprodukten und Verunreinigungen, die bei nasschemischen Prozessen ein ständiges Nachregulieren bzw. ein vollständiges Erneuern der Ätzbäder erfordert. Darüber hinaus wird durch einen Dampfätzschritt wesentlich weniger Ätzlösung als bei einem Nassätzschritt verbraucht, sodass mit der erfindungsgemäßen Substratbearbeitungsanlage ein kostengünstigerer und umweltschonenderer Ätzprozess zur Verfügung gestellt werden kann. Gerade bei den derzeitig stetig steigenden Produktionszahlen für Solarzellenwafer ist dies besonders beachtlich, da hierdurch insgesamt der Bedarf von Solarzellen herstellern an HF gesenkt werden kann, wodurch auch das Erfordernis eines Transports von HF vom Chemikalienhersteller zum Solarzellenhersteller verringert werden kann und somit Fahrstrecken entlastet werden können.
Es ist besonders günstig, wenn die Substratbearbeitungsanlage eine ätzgasresistente Innenauskleidung und einen ätzgasresistenten Substratträger aufweist. Durch diese Konstruktionsmerkmale kann eine besonders langlebige Anlage zur Verfügung gestellt werden, wobei vielfältige Ätzgase, sowohl in Plasma- als auch in Dampfätzschritten, zum Einsatz kommen können.
Gemäß einer bevorzugten Variante der vorliegenden Erfindung weist das Dampfätzmodul eine Gasdusche mit mehreren, über eine Fläche der Prozesskammer verteilten Gasauslässen auf. Dies schafft die Möglichkeit, eine Mehrzahl von Substraten, über die Fläche der Prozesskammer verteilt, dampfätzen zu können.
Vorzugsweise ist das Dampfätzmodul mit einer Ätzdampfversorgungseinheit gekoppelt. Durch die Ätzdampfversorgungseinheit kann dem Dampfätzmodul in Abhängigkeit von dem jeweiligen Prozessschritt Ätzdampf in der erforderlichen Zusammensetzung kontinuierlich und/oder zeitlich dosiert zur Verfügung gestellt werden. Es hat sich als besonders vorteilhaft erwiesen, wenn die Ätzdampfversorgungseinheit ein Gasdosiersystem und/oder ein Ätzdampferzeugungssystem mit einem eine flüssige Ätzsubstanz aufweisenden temperierten Raum, durch den wenigstens ein Trägergasstrom geführt ist, aufweist. Durch das Gasdosiersystem kann ein jeweiliger Ätzdampf mit einem weiteren Ätzdampf und/oder ein oder mehreren Trägergasen dosiert gemischt und durch die Ätzdampfversorgungseinheit der Prozesskammer zugeleitet werden. Ferner kann die flüssige Ätzsubstanz in dem temperierten Raum derart erwärmt werden, dass sich ein Ätzdampf ausbildet, welcher durch den Trägergasstrom mitgeführt und über die Ätzdampfversorgungseinheit in die Prozesskammer geleitet werden kann.
In einem besonders günstigen Äusführungsbeispiel der vorliegenden Erfindung weist das Plasmaerzeugungsmodul wenigstens eine flächig ausgebildete, speisbare Elektrode in der Prozesskammer auf. Dabei können auch mehrere einzelne oder miteinander elektrisch verbundene Elektroden vorgesehen sein. Durch die flächige Ausbildung der wenigstens einen vorgesehenen Elektrode können in der Prozesskammer mehrere Substrate gleichzeitig prozessiert werden. Dabei kann die wenigstens eine Elektrode oberhalb und/oder unterhalb der Substrate für eine Vorderseiten- und/oder Rückseitenbehandlung der Substrate vorgesehen sein. Die wenigstens eine Elektrode kann eine ebenfalls speisbare Gegenelektrode aufweisen. Als Gegenelektrode kann jedoch auch das Gehäuse der Prozesskammer dienen, welches dann typischerweise einen Masseanschluss aufweist.
Gemäß einer geeigneten Ausführungsvariante der vorliegenden Erfindung weist der Substratträger wenigstens eine Substratauflage auf, die einen flächigen Stützbereich für einen Umfangsbereich des wenigstens einen Substrates aufweist. Durch den flächigen Stützbereich kann ein Substrat derart auf die Substratauflage aufgebracht werden, dass bei einer Substratvorderseitenplasmabehandlung das Plasma die Substratrückseite nicht oder nur vernachlässigbar gering angreift. Darüber hinaus ist es durch den flächigen Stützbereich möglich, das Substrat zu kontaktieren, sodass dieses beispielsweise während einer Plasmabehandlung auf Masse gelegt werden kann.
In einer spezifischen Ausgestaltung der Erfindung weist die Substratauflage innerhalb des Stützbereiches eine Öffnung auf. Dies ermöglicht neben der Vorderseitenbehandlung auch eine Rückseitenbehandlung des Substrates in der Prozesskammer, wobei Plasma und/oder Ätzdampf durch die Öffnung an die Substratrückseite gelangen können. Entsprechend einer günstigen Weiterbildung der vorliegenden Erfindung ist in der Prozesskammer wenigstens ein Innenvolumenreduzierungsbauteil vorgesehen. Hiermit kann das Innenvolumen der Prozesskammer derart reduziert werden, dass weniger Prozessgas bzw. Ätzdampf bei den in der Prozesskammer ausgeführten Prozessschritten erforderlich ist, sodass besonders kosteneffizient gearbeitet werden kann.
Es hat sich ferner als besonders vorteilhaft erwiesen, wenn die Substratbearbeitungsanlage eine Durchlauf-Anlage ist. Somit können in der Substratbearbeitungsanlage mehrere Prozesskammern miteinander gekoppelt werden, welche nacheinander von Substraten durchlaufen werden können. Dadurch ist es möglich, in der Substratbearbeitungsanlage eine Vielzahl von Prozessschritten bzw. eine gesamte technologische Prozessabfolge kontinuierlich abarbeiten zu können.
Vorzugsweise ist die Substratbearbeitungsanlage eine Anlage zur Herstellung von Solarzellen, in welcher es auf effektive Weise möglich ist, auch stark texturierte Solarzelle nwaf er ätzen zu können.
In einer geeigneten Fortbildung der vorliegenden Erfindung weist die Prozesskammer eine Heiz- und/oder Kühlvorrichtung auf oder ist mit einer Heiz- und/oder Kühlvorrichtung gekoppelt. Durch die Heiz- und/oder Kühlvorrichtung können insbesondere in der Prozesskammer ausgeführte Dampfätzschritte besonders gut durch ein Heizen und/oder Kühlen des Prozesskammerinnenraums und damit der Temperatur des Ätzdampfes in der Prozesskammer gesteuert werden.
Die Aufgabe der Erfindung wird ferner durch ein Substratbearbeitungsverfahren gelöst, wobei in wenigstens eine evakuierbare Prozesskammer wenigstens ein Substratträger mit wenigstens einem Substrat eingebracht wird und in der Prozesskammer durch einen Plasmaprozess durch ein Plasmaerzeugungsmodul in einem Gas oder einem Gasgemisch ein Plasma erzeugt und damit eine Beschichtung, Ätzung, Oberflächenmodifizierung und/oder Reinigung des Substrates vorgenommen wird und wobei in der Prozesskammer vor und/oder nach und/oder abwechselnd mit dem Plasmaprozess eine Dampfätzung des wenigstens einen Substrates durchgeführt wird.
Das erfindungsgemäße Substratbearbeitungsverfahren ermöglicht es, in einer einzigen Prozesskammer sowohl eine Plasmabehandlung als auch eine Dampfätzung des wenigstens einen Substrates vorzunehmen. Somit können Plasmabehandlungsschritte direkt vor einem Dampfätzschritt und umgekehrt ausgeführt werden, ohne dass das Substrat die Prozesskammer verlassen muss. Dies hat den Vorteil, dass die durch den vor- hergehenden Prozessschritt in der Prozesskammer eingestellten Substrateigenschaften unverändert als Grundlage für den nachfolgenden Prozessschritt an dem Substrat in der Prozesskammer vorliegen, wodurch die Qualität und Effektivität der Prozessschritte und damit auch die Qualität der mit dem erfindungsgemäßen Verfahren hergestellten Substrate bedeutend verbessert werden kann. Aufwendige Handlingzwischenschritte und dafür erforderliche Anlagenteile können entfallen. Geringere Substratdurchlaufzeiten, ein höherer Substratdurchsatz, ein geringerer Platzbedarf und verringerte Kosten für die Anlagentechnik sind die Folge.
Gemäß einer favorisierten Ausführungsform der vorliegenden Erfindung wird die Dampfätzung mit HF-haltigem Dampf durchgeführt. Mit dem HF-Ätzdampf können insbesondere Siliziumdioxid sowie SiO2-haltige Materialien, wie Phosphorsilikatglas, mit einem Nassätzverfahren vergleichbar isotrop und mit hoher Selektivität gegenüber Silizium geätzt werden. Zudem eignet sich das HF-Dampfätzverfahren insbesondere für eine einseitige Ätzung von Substraten. Dies ist besonders günstig für eine Siliziumoxid- oder PSG- Ätzung von sauer texturierten Solarzellenwafern, bei welchen in dem HF-Dampfätzschritt auch tiefere und/oder durch Höhlungen oder dergleichen abgedeckte Bereiche zuverlässig geätzt werden können. Darüber hinaus bietet die vorgeschlagene Ausführungsform des erfindungsgemäßen Verfahrens den Vorteil, dass bei dem HF-Dampfätzschritt wesentlich weniger HF als in einem nasschemischen Verfahren verbraucht wird. Zudem kann die HF-Konzentration in dem HF-Dampf durch einfache Zu- und Abführung des HF- haltigen Dampfes leicht kontrolliert werden, um optimale Ätzergebnisse zu erzielen.
Es ist besonders günstig, wenn mit dem erfindungsgemäßen Substratbearbeitungsverfahren Substrate zur Herstellung von Solarzellen bearbeitet werden. Insbesondere bei Solarzellenwafern ergibt sich gerade bei neuen Technologien ein stetig erhöhter Bedarf an Einseitentechnologien, die es ermöglichen, auch auf stark texturierten Oberflächen zuverlässig Siliziumoxid und PSG ätzen zu können. Zudem werden in der Solarzellenherstellung die verwendeten Substrate immer dünner, was ein Nassätzen immer schwieriger macht, da die dünnen Substrate im Ätzbad aufschwimmen und somit nicht zuverlässig geätzt werden können. Mit dem erfindungsgemäßen Verfahren lassen sich solche Substrate ohne Weiteres isotrop von einer Seite ätzen. Zudem ist durch die erfindungsgemäße Verfahrensweise ein hoher Substratdurchsatz gewährleistet, sodass eine große Anzahl von Solarzellenwafern in kurzen Prozesszeiten mit verringertem Anlagenaufwand hergestellt werden kann. In einem Beispiel des erfindungsgemäßen Verfahrens wird in der wenigstens einen Prozesskammer in einem HF-Dampfätzschritt PSG von einer Vorderseite des Substrates geätzt, wobei in einem nachfolgenden Prozessschritt in der Prozesskammer eine Plas- maoxidation einer oder mehrerer Oberflächenlagen des Substrates erfolgt. Somit kann in dem HF-Dampfätzschritt, der ein einseitiges isotropes und selektives Ätzen ermöglicht, das PSG zuverlässig von der Vorderseite des Substrates entfernt werden, wobei durch die Plasmaoxidation in dem darauffolgenden Prozessschritt die geätzte Substratoberfläche sofort mit Oxid abgedeckt werden kann. Auf diese Weise kann eine definierte, gereinigte Oberfläche des Substrates geschaffen werden. Zudem können von dem Oxid, das in dem Plasmaoxidationsschritt erzeugt wird, Verunreinigungen und/oder strukturelle Fehler an der Substratoberfläche vergraben werden.
In einer weiteren geeigneten Verfahrensvariante der vorliegenden Erfindung wird in der Prozesskammer oder einer weiteren Prozesskammer in einem HF-Dampf ätzschritt PSG von einer Rückseite des Substrates geätzt und in einem nachfolgenden Prozessschritt in der Prozesskammer in einem Plasmaätzschritt ein Emitterrückseitenätzen des Substrates vorgenommen. Mit dieser Prozessführung kann in der gleichen Kammer zunächst PSG und daraufhin der parasitäre Emitterbereich von der Rückseite eines Solarzellen- wafers entfernt werden.
In einer optionalen Variante des erfindungsgemäßen Substratbearbeitungsverfahrens wird nach dem HF-Dampfätzschritt zum Ätzen des PSG in der Prozesskammer ein Dampfätzschritt mit einem KOH und HCl enthaltenden Dampfgemisch zum Ätzen von Metallionen von dem Substrat durchgeführt. Auf diese Weise kann vor der Plasmaoxidation der Substratvorderseite und/oder vor dem Plasmaätzschritt zum Emitterrückseitenätzen des Substrates eine Eliminierung von Metallrückständen auf der Oberfläche vorgenommen werden.
In einer weiteren optionalen Variante des erfindungsgemäßen Substratbearbeitungsverfahrens wird in der Prozesskammer oder einer weiteren Prozesskammer eine O2- Plamareinigung vor dem HF-Dampfätzschritt und/oder nach dem Emitterrückseitenätzen des Substrates durchgeführt. Durch die O2-Plamareinigung vor dem HF-Dampfätzschritt können organische Verunreinigungen entfernt werden, sodass die nachfolgende HF- Dampfätzung leichter erfolgen kann. Da beim Emitterrückseitenätzen des Substrates in einem Plasmaätzschritt mit fluorhaltigen Gasen organische Polymere entstehen, kann durch die O2-Plamareinigung nach dem Emitterrückseitenätzen des Substrates eine rückstandsfreie Oberfläche geschaffen werden, die beispielsweise bei der Herstellung von Solarzellenwafern für die Beschichtung mit einer Antireflexionsschicht besonders gut vorbereitet ist.
Gemäß einer weiteren bevorzugten Ausführungsform des erfindungsgemäßen Substratbearbeitungsverfahrens erfolgt in der Prozesskammer oder einer weiteren Prozesskammer eine Plasmaoxidation einer oder mehrerer Oberflächenlagen des Substrates und in einem nachfolgenden Prozessschritt erfolgt in der Prozesskammer eine HF- Dampfätzung der oxidierten Oberflächenlagen. Durch die Plasmaoxidation und das nachfolgende HF-Dampfätzen können die Oberflächenlagen des Substrates entfernt und das Substrat somit gereinigt werden. Auf diese Weise kann beispielsweise eine Oberfläche eines Siliziumsubstrates für eine Abscheidung einer a-Si-PECVD-Schicht vorbereitet werden.
Werden die Plasmaoxidation und die HF-Dampfätzung mehrfach alternierend ausgeführt, kann der Reinigungseffekt noch verbessert werden. Zudem kann mit diesem alternierenden Prozess effektiv die „dead layer" von einem Siliziumsubstrat entfernt werden, das in vorhergehenden Prozessschritten mittels PSG phosphordotiert wurde und von welchem das PSG geätzt wurde.
Ist der letzte Schritt der alternierenden Prozessabfolge eine Plasmaoxidation, ist das Substrat besonders gut für eine nachfolgende Siliziumnitridabscheidung vorbereitet, da das Nitrid gut auf dem Oxid haftet. Die Siliziumnitridschicht kann beispielsweise als Antireflexionsschicht auf einem Solarzellenwafer genutzt werden.
In einem ebenfalls geeigneten Beispiel des erfindungsgemäßen Substratbearbeitungsverfahrens wird in der Prozesskammer oder einer weiteren Prozesskammer eine O2- Plasmareinigung und nachfolgend in der Prozesskammer eine Oberflächenschicht des Substrates in einem Dampfätzschritt unter Verwendung von HF-haltigem Dampf und reaktionsfähigem Sauerstoff geätzt. Durch die O2-Plasmareinigung wird die Oberfläche des Substrates zunächst insbesondere von organischen Verunreinigungen befreit, so- dass sie besonders gut für den nachfolgenden Dampf ätzschritt in der Prozesskammer vorbereitet ist. Bei dem Dampfätzschritt wird ein Gemisch verwendet, das HF-haltigem Dampf und reaktionsfähigen Sauerstoff, wie beispielsweise Ozon, enthält. Mit dem reaktionsfähigen Sauerstoff wird die Substratoberfläche oxidiert, wobei nahezu zeitgleich die oxidierten Lagen durch den HF-haltigem Dampf von dem Siliziumsubstrat wieder geätzt werden. Durch eine geeignete Einstellung der Konzentration der HF und des reaktionsfähigen Sauerstoffs kann der Prozess in der Prozesskammer so gesteuert werden, dass beispielsweise eine „dead layer" von einem mit PSG phosphordotierten Siliziumsubstrat geeignet entfernt werden kann. Bedingt durch die Verwendung des HF-Dampfes kann hierbei die „dead layer" auch von stark texturierten Siliziumsubstraten zuverlässig entfernt werden. Diese Prozessvariante kann ferner zur Reinigung und zum vorder- und rückseitigen Schichtabtrag bei einem Substrat verwendet werden.
Wird in dem Dampfätzschritt unter Verwendung von HF-haltigem Dampf und reaktionsfähigem Sauerstoff am Ende des Dampfätzschrittes verstärkt reaktionsfähiger Sauerstoff der Prozesskammer zugeführt, weist das so prozessierte Substrat am Ende des Prozesses eine Oxidschicht an der Oberfläche auf. Diese eignet sich insbesondere für eine nachfolgende Siliziumnitridabscheidung, beispielsweise zur Herstellung einer Antireflexi- onsschicht auf einem Solarzellenwafer.
In einer weiteren optionalen Variante kann nach dem Dampfätzschritt unter Verwendung von HF-haltigem Dampf und reaktionsfähigem Sauerstoff auch eine Plasmaoxidation in der Prozesskammer durchgeführt werden, durch welche auf der Substratoberfläche eine Oxidschicht entsteht. Diese ist eine geeignete Grundlage für eine nachfolgende Siliziumnitridabscheidung, beispielsweise zur Herstellung einer Antireflexionsschicht für einen Solarzellenwafer.
Gemäß einer weiteren Option des erfindungsgemäßen Substratbearbeitungsverfahrens wird in der Prozesskammer oder einer weiteren Prozesskammer in einem HF- Dampfätzschritt Luftoxid von einer Vorderseite und/oder einer Rückseite eines Siliziumsubstrates entfernt, wobei vor und/oder nach dem HF-Dampfätzschritt eine O2- Plasmareinigung des Siliziumsubstrates in dieser Prozesskammer durchgeführt wird. Dieser Prozess eignet sich besonders für eine hochqualitative Luftoxidentfernung, beispielsweise vor einer a-Si-PECVD-Schichtabscheidung zur Herstellung eines pn- Übergangs für einen Solarzellenwafer.
Bevorzugte Ausführungsformen der vorliegenden Erfindung, deren Aufbau, Funktion und Vorteile werden im Folgenden anhand der Figuren der Zeichnung näher erläutert, wobei
Figur 1 schematisch einen möglichen Grundaufbau einer erfindungsgemäßen
Substratbearbeitungsanlage mit einer Prozesskammer anhand einer Prinzipskizze zeigt;
Figur 2 schematisch eine in der erfindungsgemäßen Substratbearbeitungsanlage verwendbare Substratauflage zeigt, die für eine Vorder- und/oder Rückseitenbehandlung eines Substrates geeignet ist; Figur 3 schematisch eine weitere mögliche Ausführungsvariante einer Substratauflage für eine Vorderseitenbehandlung eines Substrates in einer erfindungsgemäßen Substratbearbeitungsanlage zeigt;
Figur 4 schematisch noch eine weitere Variante einer in einer erfindungsgemäßen
Substratbearbeitungsanlage verwendbaren Substratauflage in Form einer Hakenauflage zeigt;
Figur 5 schematisch eine Prinzipskizze eines in einer erfindungsgemäßen Substratbearbeitungsanlage verwendbaren Gasdosiersystems zeigt;
Figur 6 schematisch eine Prinzipskizze eines in einer erfindungsgemäßen Substratbearbeitungsanlage einsetzbaren Ätzdampferzeugungssystems zeigt;
Figur 7 schematisch eine Prinzipskizze einer erfindungsgemäßen Substratbearbeitungsanlage mit einem vorgeschalteten Gasdosiersystem und einem nachgeschalteten Abgasbeseitigungssystem zeigt;
Figur 8 schematisch eine Ausführungsform einer erfindungsgemäßen Substratbearbeitungsanlage mit mehreren Prozesskammern zeigt;
Figur 9 schematisch eine Ausführungsform einer erfindungsgemäßen Substratbearbeitungsanlage in Form einer Durchlauf-Anlage für eine Rückseitenbehandlung von Solarzellensubstraten zeigt;
Figur 10 schematisch eine weitere Ausführungsform einer erfindungsgemäßen Substratbearbeitungsanlage in Form einer Durchlauf-Anlage für eine Vorderseitenbehandlung von Solarzellensubstraten zeigt;
Figur 11 schematisch eine Ausführungsvariante eines erfindungsgemäßen Substratbearbeitungsverfahrens zum PSG-Ätzen auf einer Substratvorderseite zeigt;
Figur 12 schematisch eine Ausführungsform des erfindungsgemäßen Substratbearbeitungsverfahrens zum PSG- und Emitterrückseitenätzen eines Substrates zeigt;
Figur 13 schematisch eine Ausführungsform eines erfindungsgemäßen Substratbearbeitungsverfahrens zum Entfernen einer „dead layer" für eine Herstellung eines Solarzellenwafers zeigt;
Figur 14 schematisch eine Ausführungsform eines erfindungsgemäßen Substratbearbeitungsverfahrens zum Entfernen einer „dead layer" vor einer Silizium- nitridabscheidung für eine Solarzellenherstellung zeigt;
Figur 15 schematisch eine weitere Ausführungsform eines erfindungsgemäßen Substratbearbeitungsverfahrens zum Entfernen einer „dead layer" für eine Herstellung eines Solarzellenwafers zeigt; Figur 16 schematisch eine weitere Ausführungsform eines erfindungsgemäßen Substratbearbeitungsverfahrens zur Entfernung einer „dead layer" vor einer Siliziumnitridabscheidung zur Herstellung von Solarzellen zeigt; und
Figur 17 schematisch eine Ausführungsform eines erfindungsgemäßen Substratbearbeitungsverfahrens für eine Luftoxidentfernung vor einem a-Si-PECVD- Abscheidungsschritt bei einer Solarzellenherstellung zeigt.
Fig. 1 zeigt schematisch eine Prinzipskizze einer Substratbearbeitungsanlage 10 mit einer evakuierbaren Prozesskammer 20. Die einzelnen, in Fig. 1 dargestellten Elemente der Prozesskammer 20 veranschaulichen lediglich deren Funktionsprinzip und sind daher nicht maßstabsgetreu gezeichnet und können sich auch an anderen Positionen in oder an der Prozesskammer 20 befinden.
Die Prozesskammer 20 ist im Wesentlichen aus Edelstahl oder Baustahl ausgebildet und weist eine Innenauskleidung 80 aus einem ätzgasresistenten Material auf. In dem in Fig. 1 gezeigten Ausführungsbeispiel ist die Innenauskleidung 80 inert gegen HF und beispielsweise aus Graphit, reinem AI2O3 oder teflonartigen Polymeren ausgebildet. Die Innenauskleidung 80 kann durch eine ätzgasresistente Kammerbeschichtung oder auch durch an der Kammerinnenwand montierte Platten ausgebildet werden.
Die Prozesskammer 20 weist sowohl an ihrem Ein- als auch an ihrem Ausgang jeweils ein Gate 27 mit einer offen- und schließbaren Ventilklappe 23 auf, durch welche ein Innenraum 29 der Prozesskammer 20 von außen zugänglich ist bzw. über welche die Prozesskammer 20 mit anderen Prozesskammern der Substratbearbeitungsanlage 10 verbunden sein kann. Die Prozesskammer 20 weist darüber hinaus wenigstens eine Gaszuführung 61 , wenigstens eine Gasabführung 62 mit einer Vakuumpumpe 24 und eine heiz- und/oder Kühlvorrichtung 26 auf.
In dem in Fig. 1 gezeigten Ausführungsbeispiel ist in einem oberen Bereich ein Plasmaerzeugungsmodul 50 mit einer oder mehreren, flächig ausgebildeten Elektroden 52 vorgesehen. Jede der Elektroden 52 ist elektrisch kontaktiert, wobei die Elektroden 52 jeweils einzeln mit einem Potenzial gespeist oder auch zusammengeschaltet sein können.
In anderen, nicht gezeigten Ausführungsvarianten der vorliegenden Erfindung kann das Plasmaerzeugungsmodul 50 auch ein oder mehrere andere Plasmaerzeugungselemente wie beispielsweise Mikrowellenstäbe, aufweisen. Alternativ ist es auch denkbar, dass das Plasmaerzeugungsmodul 50 ein ICP(lnductive Coupled Plasma)-Modul aufweist, wobei sich die eigentliche Plasmaquelle auch außerhalb der Prozesskammer 20 befinden kann.
Ferner ist in der Prozesskammer 20 ein Dampfätzmodul 70, welches in dem gezeigten Ausführungsbeispiel ein HF-Dampfätzmodul ist, integriert, welches in einem oberen Bereich der Prozesskammer 20 eine Gasdusche 71 mit mehreren, über eine Fläche der Prozesskammer 20 verteilten Gasauslässen 72 aufweist. Das Dampfätzmodul 70 ist über die wenigstens eine Gaszuführung 61 mit einer Ätzdampfversorgungseinheit 90 gekoppelt, welche anhand von Beispielen in den Fig. 5 bis 7 näher beschrieben ist.
In die Prozesskammer 20 ist über das Gate 27 wenigstens ein Substratträger 30 mit wenigstens einem Substrat 40 einbringbar. Der Substratträger 30 kann über das Gate 27 am Ende der Prozesskammer 20 wieder aus der Prozesskammer 20 ausgeschleust werden.
Der Substratträger 30 besteht aus einem ätzgasresistenten Material, vorzugsweise einem HF-resistenten Material. In dem gezeigten Ausführungsbeispiel ist der Substratträger 30 z.B. aus AI2O3 ausgebildet.
Der Substratträger 30 weist in dem gezeigten Ausführungsbeispiel mehrere Substratauflagen für Substrate 40 auf. Beispiele für mögliche Substratauflagen 31 , 34, 38 sind in den Fig. 2 bis 4 gezeigt und im Folgenden näher beschrieben.
Der Substratträger 30 ist auf Transportrollen 25 geführt, welche vorzugsweise ebenfalls aus einem ätzgasresistenten Material bestehen oder mit einem solchen beschichtet sind.
Ferner ist in der Prozesskammer 20, im Beispiel unterhalb des Substratträgers 30, ein Innenvolumenreduzierungsbauteil 81 vorgesehen, welches in dem gezeigten Ausführungsbeispiel z.B. aus AI2O3 ausgebildet ist und das Innenvolumen des Innenraums 29 der Prozesskammer 20 derart reduziert, dass zum Befallen des Innenraums 29 nur eine entsprechend geringe, insbesondere für die Befüllung des über den Substraten 40 befindlichen Teils des Prozesskammerinnenraums 29 ausreichende Menge an Prozessgas bzw. Ätzdampf in die Prozesskammer 20 eingeleitet werden muss.
Fig. 2 zeigt schematisch ein Beispiel für eine Substratauflage 31 , wie sie in einer Ausführungsform der erfindungsgemäßen Substratbearbeitungsanlage 10 Anwendung finden kann. Die Substratauflage 31 weist einen flächigen Stützbereich 32 für einen Umfangs- bereich 43 eines Substrates 40 auf. Dadurch kann das Substrat 40 an seinem Umfang auf dem flächigen Stützbereich 32 aufgelegt werden. Durch die flächige Auflage kann weitgehend verhindert werden, dass das Plasma in einer Behandlung der Substratvorderseite 41 auch auf die Substratrückseite 42 gelangt. Darüber hinaus besteht durch den flächigen Stützbereich 32 die Möglichkeit einer Kontaktierung des Substrates 40, der hierdurch beispielsweise in Plasmaprozessen auf Masse gelegt werden kann. Die Substratauflage 31 weist eine Öffnung 33 innerhalb des Stützbereiches 32 auf. Hierdurch wird auch eine Behandlung der Substratrückseite 42 möglich.
Fig. 3 zeigt schematisch eine weitere Ausführungsvariante einer Substratauflage 34, wie sie ebenfalls in einer Ausführungsform der erfindungsgemäßen Substratbearbeitungsanlage 10 Anwendung finden kann. Die Substratauflage 34 weist auf ihrer Vorderseite einen ausgeschnittenen Bereich 35 auf, in welchen ein Substrat 40 eingelegt werden kann. Dabei liegt das Substrat 40 flächig auf einer geschlossenen Ebene 36 auf, die seitlich durch eine Seitenwand 37 des ausgeschnittenen Bereiches 35 begrenzt ist, sodass das Substrat 40 in seiner aufgelegten Position auf der Substratauflage 34 nicht verrutschen kann.
Fig. 4 zeigt schematisch eine weitere mögliche Ausführungsform einer Substratauflage 38, wie sie in einer Ausführungsform der erfindungsgemäßen Substratbearbeitungsanlage Anwendung finden kann. Die Substratauflage 38 weist Hakenelemente 39 auf, auf welche ein Substrat 40 aufgelegt werden kann. Die Substratauflage 38 kann beispielsweise für zweiseitige Prozesse verwendet werden.
Fig. 5 zeigt schematisch eine Prinzipskizze einer Ätzdampfversorgungseinheit 90 für eine erfindungsgemäße Substratbearbeitungsanlage. Die Ätzdampfversorgungseinheit 90 weist in dem gezeigten Beispiel ein Gasdosiersystem 91 mit einem Mass-Flow-Controller auf, wobei das gezeigte Gasdosiersystem 91 eine Zuleitung 96 für Trägergas, wie beispielsweise Stickstoff, und wenigstens eine Zuleitung 97 für Ätzdampf, wie beispielsweise HF-haltigen Dampf, aufweist. In dem Gasdosiersystem 91 entsteht eine Trägergas- Ätzdampf-Mischung, die durch eine Leitung 98 der Prozesskammer 20 zugeführt werden kann.
Fig. 6 zeigt schematisch eine weitere Prinzipskizze einer Ätzdampfversorgungseinheit 90'. Die Ätzdampfversorgungseinheit 90' weist ein Ätzdampferzeugungssystem mit einem temperierten Raum 94 auf, in welchem sich eine flüssige Ätzsubstanz 93, wie beispielsweise HF, befindet. Der Raum 94 weist eine Zuleitung 96' auf, durch welche Trägergas, wie beispielsweise Stickstoff, in das die Ätzsubstanz 93 geleitet werden kann. Das Trägergas durchströmt die temperierte flüssige Ätzsubstanz 93, wodurch sich oberhalb der Ätzsubstanz 93 in dem Raum 94 ein Trägergas-Ätzdampf-Gemisch bildet, das durch eine Leitung 98' aus dem Raum 94 zu der Prozesskammer 20 geführt werden kann.
Fig. 7 zeigt schematisch, wie die Ätzdampfversorgungseinheit 90 aus Fig. 5 mit der Prozesskammer 20 gekoppelt sein kann. Durch die Leitung 98 wird das Trägergas- Ätzdampf-Gemisch bzw. das Prozessgas der Prozesskammer 20 zugeführt. In dem gezeigten Beispiel wird in der Prozesskammer 20 ein Prozessdruck p < patm bzw. ein Vakuum eingestellt. Das in der Prozesskammer 20 befindliche Substrat 40 wird entsprechend bei dem Prozessdruck bzw. im Vakuum mittels des durch die Leitung 98 zugeführten Prozessgases dampfgeätzt. In anderen, nicht gezeigten Ausführungsvarianten der vorliegenden Erfindung kann in der Prozesskammer 20 auch ein Prozessdruck p > patm eingestellt werden, sodass das Dampfätzverfahren in der Prozesskammer 20 bei Atmosphärendruck oder Überdruck erfolgen kann.
In dem Ausführungsbeispiel von Fig. 7 erfolgt die Druckherabsetzung durch eine Vakuumpumpe 24, die an einer Gasabführung 62 der Prozesskammer 20 vorgesehen ist. Durch die Gasabführung 62 kann nach dem erfolgten Dampfätzprozess das verbrauchte Prozessgas über ein Abgasbeseitigungssystem 63 geführt und damit umweltgerecht aufgearbeitet werden. Die aus dem Abgasbeseitigungssystem 63 durch eine Gasabführung 64 austretende Abluft weist Atmosphärendruck patm auf.
Fig. 8 zeigt schematisch eine Ausführungsform einer erfindungsgemäßen Substratverarbeitungsanlage 11 in Form einer Durchlauf- bzw. Inline-Anlage, die wenigstens zwei erfindungsgemäß vorgesehene Prozesskammern 20, 21 aufweist. Vor einem Gate 27 der ersten Prozesskammer 20 wird auf Laufrollen 25 in einer Carrier-Transportebene 49 ein Substratträger, wie in Fig. 1 gezeigt, in die Prozesskammer 20 eingebracht. Die Prozesskammer 20 weist sowohl ein Plasmaerzeugungsmodul 50 als auch ein Dampfätzmodul 70 auf, durch welche in ein und derselben Prozesskammer 20 Plasmabehandlungen als auch Dampfätzprozesse an einem oder mehreren in die Prozesskammer 20 eingebrachten Substraten ausgeführt werden können.
An die Prozesskammer 20 schließt sich ein weiteres Gate 27 an, durch welches die in der Prozesskammer 20 prozessierten Substrate in eine weitere Prozesskammer 21 auf dem Substratträger bewegt werden. In der Prozesskammer 21 ist ebenfalls ein Plasmaerzeugungsmodul 50 als auch ein Dampfätzmodul 70 integriert. Somit können in beiden Prozesskammern 20, 21 sowohl Plasma- als auch Dampfätzprozesse ausgeführt werden. Dies hat den Vorteil, dass hierdurch ein schnellerer Durchsatz von Substraten durch die Substratbearbeitungsanlage 11 möglich ist und die Prozessvielfalt erhöht werden kann.
An die Prozesskammer 21 schließt sich ein weiteres Gate 27 an, durch welches die in der Prozesskammer 21 prozessierten Substrate in eine weitere Prozesskammer 28 gebracht werden können. Die weitere Prozesskammer 28 kann gleich oder ähnlich wie die Prozesskammern 20, 21 ausgebildet sein, kann jedoch auch völlig anders gestaltet sein. Beispielsweise kann die Prozesskammer 28 eine Abscheidekammer für eine Siliziumnit- ridabscheidung sein.
Am Ende der Prozesskammer 28 ist wiederum ein Gate 27 vorgesehen, durch welches die in der Prozesskammer 28 prozessierten Substrate 40 entweder in einer weitere Prozesskammer, die hier nicht gezeigt ist, der Substratbearbeitungsanlage 11 gebracht werden können oder durch welches die prozessierten Substrate 40 der Substratbearbeitungsanlage 11 entnommen werden können.
Fig. 9 zeigt schematisch eine weitere mögliche Ausführungsvariante einer erfindungsgemäßen Substratbearbeitungsanlage 12 in Form einer Durchlauf- bzw. Inline-Anlage zur Herstellung von Solarzellen. Die dargestellte Substratbearbeitungsanlage 12 ist insbesondere zur Behandlung der Rückseite 42 von Solarzellensubstraten geeignet. Bei der Substratbearbeitungsanlage 12 gelangen die zu behandelnden Substrate 40 zunächst durch ein Gate 27 in eine Einschleusekammer 2, welche mit einer Vakuumpumpe 24 zur Evakuierung der Einschleusekammer 2 gekoppelt ist. In der Einschleusekammer 2 wird eine für die nachfolgende Prozessierung erforderliche Prozesstemperatur Tpx eingestellt. Durch ein weiteres Gate 27 gelangen die zu behandelnden Substrate 40 in eine Prozesskammer 20, die gleich oder ähnlich wie die Prozesskammer 20 aus Fig. 1 ausgebildet ist und insbesondere ein Plasmaerzeugungsmodul 50 und ein Dampfätzmodul 70 aufweist. In der Prozesskammer 20 erfolgt ein HF-Dampfätzschritt, in welchem eine PSG-Schicht von der Substratrückseite 42 geätzt wird. Daraufhin wird in der Prozesskammer 20 ein Emitterrückseitenätzen in einem RIE-Plasmaätzschritt unter Verwendung von CF4 und O2 durchgeführt, um den parasitären Emitter von der Substratrückseite 42 zu entfernen. Während der Prozesse wird der Innenraum der Prozesskammer 20 durch eine Vakuumpumpe 24 evakuiert und eine für die nachfolgende Prozessierung notwendige Prozesstemperatur Tpy eingestellt. Durch ein sich an die Prozesskammer 20 anschließendes weiteres Gate 27 gelangen die Substrate 40 auf den Substratträger 30 in eine weitere Prozesskammer 21 , die gleich oder ähnlich wie die Prozesskammer 20 aus Fig. 1 ausgebildet ist und insbesondere ein Plasmaerzeugungsmodul 50 und ein Dampfätzmodul 70 aufweist. In der Prozesskammer 21 , die ebenfalls über eine Vakuumpumpe 24 evakuierbar ist, wird eine O2- Plasmareinigung ausgeführt, mit welcher Polymerreste, die sich beim Emitterrückseitenätzen ergeben können, von der Substratrückseite 42 entfernt werden. Darüber hinaus wird in der Prozesskammer 21 nachfolgend eine HF-Dampfätzung durchgeführt.
Über ein weiteres Gate 27 gelangen die Substrate 40 daraufhin in eine Schleuse 3, welche durch eine Vakuumpumpe 24 evakuierbar ist und in welcher die Temperatur der Substrate 40 auf etwa 4000C eingestellt werden kann.
Durch ein weiteres Gate 27 werden die Substrate 40 in eine weitere Prozesskammer 4 transportiert, in welcher eine Si3N4-PECVD-Abscheidung auf der Substratrückseite 42 durchgeführt wird. Während der Si3N4-PECVD-Abscheidung wird die Prozesskammer 4 durch eine Vakuumpumpe 24 evakuiert und ist die Prozesskammer 4 auf etwa 4000C temperiert. Die Substrate 40 können daraufhin in weiteren, nachfolgenden Prozesskammern 5, 6 weiterbehandelt werden.
Fig. 10 zeigt schematisch eine weitere mögliche Ausführungsvariante einer erfindungsgemäßen Substratbearbeitungsanlage 13 in Form einer Durchlauf- bzw. Inline-Anlage zur Herstellung von Solarzellen. Die gezeigte Substratbearbeitungsanlage 13 ist insbesondere zur Behandlung der Substratvorderseite 41 von Solarzellensubstraten geeignet.
In der Substratbearbeitungsanlage 13 gelangen die zu bearbeitenden Substrate 40 mittels eines Substratträgers 30 in eine Einschleusekammer 2, die grundsätzlich ähnlich wie die Einschleusekammer 2 aus Fig. 9 ausgebildet ist. Durch ein weiteres Gate 27 werden die Substrate 40 in eine Prozesskammer 20 transportiert, die gleich oder ähnlich wie die Prozesskammer 20 aus Fig. 1 ausgebildet ist. In der Prozesskammer 20 erfolgt ein HF- Dampfätzschritt einer PSG-Schicht von der Substratvorderseite 41. In einem nachfolgenden Plasmaschritt wird die geätzte Substratvorderseite 41 oxidiert. An die Prozesskammer 20 schließt sich über ein Gate 27 eine Schleuse 3 an, die gleich oder ähnlich wie die Schleuse 3 aus Fig. 9 ausgebildet ist und in welcher die Substrate 40 auf etwa 4000C aufgeheizt werden. Daraufhin gelangen die Substrate 40 über ein Gate 27 in eine weitere Prozesskammer 4, in welcher eine Si3N4-PECVD-Abscheidung auf der Substratvorderseite 41 vorgenommen wird. Die Substrate 40 können daraufhin in weiteren Pro- zesskammern 5, 6 weiter behandelt und schließlich der Substratbearbeitungsanlage 13 entnommen werden.
Fig. 11 zeigt schematisch eine Ausführungsform eines erfindungsgemäßen Substratbearbeitungsverfahrens, welches beispielsweise in der Prozesskammer 20 aus Fig. 1 ausgeführt werden kann. Das Verfahrensbeispiel von Fig. 11 dient einem PSG-Ätzen auf einer Substratvorderseite 41 eines Substrates 40 für eine Herstellung von Solarzellen.
In dem Schritt 111 erfolgt zunächst optional eine O2-Plasmareinigung der Substratvorderseite 41. In einem weiteren Schritt 112 wird eine Dampfätzung mit HF-haltigem Dampf durchgeführt, um eine PSG-Schicht von der Substratvorderseite 41 zu ätzen. Optional kann in einem darauf folgenden Schritt 113 in der gleichen Prozesskammer 20 ein Dampfätzen der Substratvorderseite 41 , beispielsweise unter Verwendung von HF und O3, durchgeführt werden, um Metallionen von der Substratvorderseite 41 zu entfernen.
Entweder direkt nachfolgend nach dem Schritt 112 oder nach dem Schritt 113 erfolgt in dem Schritt 114 eine Plasmaoxidation der Substratvorderseite 41 , in welcher auf diese eine dünne Oxidschicht aufgebracht wird, auf welcher beispielsweise eine nachfolgend aufgebrachte Siliziumnitridschicht besonders gut haftet.
Fig. 12 zeigt schematisch eine weitere mögliche Ausführungsvariante eines erfindungsgemäßen Substratbearbeitungsverfahrens. Das Verfahrensbeispiel von Fig. 12 dient beispielsweise einem PSG- und Emitterrückseitenätzen von Solarzellensubstraten.
In einem ersten Verfahrensschritt 121 des Verfahrens von Fig. 12 erfolgt optional eine O2-Plasmareinigung einer Substratrückseite 42 eines Substrates 40. In einem nachfolgenden Schritt 122 wird eine HF-Dampfätzung einer PSG-Schicht von der Substratrückseite 42 durchgeführt. Optional kann in einem nachfolgenden Schritt 123 beispielsweise ein HF- und O3-Dampfätzen von Metallionen auf der Substratrückseite 42 erfolgen.
Entweder direkt nach dem Schritt 122 oder nach dem Schritt 123 wird in dem Verfahrensschritt 124 ein Emitterrückseitenätzen unter Verwendung von F- oder Cl-haltigen Ätzgasen und O2 in einem Plasmaätzschritt in der Prozesskammer 20 durchgeführt. Nachfolgend kann in einem Schritt 125 optional wieder eine O2-Plasmareinigung der Substratrückseite 42 durchgeführt werden.
Fig. 13 zeigt schematisch eine weitere Ausführungsvariante eines erfindungsgemäßen Substratbearbeitungsverfahrens, welches sowohl als Reinigungsverfahren als auch zur Entfernung einer „dead layer" auf Solarzellensubstraten eingesetzt werden kann. In einem ersten Verfahrensschritt 131 erfolgt eine Plasmaoxidation einer Substratvorder- und/oder Substratrückseite 41 , 42. In dem Plasmaoxidationsschritt 131 werden eine oder mehrere Oberflächenlagen der Substratvorder- und/oder Substratrückseite 41 , 42 oxi- diert, welche nachfolgend in einem Verfahrensschritt 132 mittels HF-haltigem Dampf geätzt werden. Die Schritte 131 und 132 können mehrfach alternierend ausgeführt werden.
Fig. 14 zeigt schematisch eine weitere Ausführungsvariante des erfindungsgemäßen Substratbearbeitungsverfahrens, welches insbesondere bei der Herstellung von Solarzellen Anwendung finden kann. Ausgangssubstrate des in Fig. 14 dargestellten Verfahrens sind Siliziumsubstrate, bei welchen in einem Schritt 141 eine Abscheidung einer PSG-Schicht für eine nachfolgende Phosphordiffusion 142 erfolgt ist und bei welchen die PSG-Schicht nachfolgend in einem Schritt 143 entfernt wurde.
In einem ersten, in der Prozesskammer 20 ausgeführten Verfahrensschritt 144 erfolgt eine Plasmaoxidation, bei welcher eine oder mehrere Oberflächenlagen der Substratvorder- und/oder Substratrückseite 41 , 42 oxidiert werden. Nachfolgend wird im Verfahrensschritt 145 eine Dampfätzung mit HF-haltigem Dampf zur Entfernung der oxidierten Oberflächenlagen durchgeführt. Der Plasmaoxidationsschritt 144 und der HF- Dampf ätzschritt 145 werden mehrfach alternierend nacheinander durchgeführt. Im Ergebnis wird Stück für Stück die sogenannte „dead layer" entfernt, welche bereits an der Oberfläche der Siliziumsubstrate infolge der Phosphordiffusion vorliegt.
Nachfolgend wird in dem Verfahrensschritt 146 von Fig. 14 eine Plasmaoxidation durchgeführt, wodurch auf der Oberfläche der Substrate 40 eine Oxidschicht entsteht, auf welcher eine nachfolgend im Schritt 147 abgeschiedene Siliziumnitridschicht besonders gut haftet.
Fig. 15 zeigt schematisch eine weitere Ausführungsvariante des erfindungsgemäßen Substratbearbeitungsverfahrens, welches beispielsweise zur Oberflächenreinigung von Solarzellensubstraten eingesetzt werden kann. Hierzu werden in einem ersten Verfahrensschritt 151 Substrate 40 einer O2-Plasmareinigung ausgesetzt und nachfolgend in einem Dampfätzschritt 152 mit einem Dampfgemisch geätzt, das HF und reaktionsfähigen Sauerstoff, wie beispielsweise Ozon, enthält. Durch eine geeignete Einstellung der Konzentration des reaktionsfähigen Sauerstoffs in dem Dampfgemisch kann entweder bevorzugt eine Oxidation oder, durch den HF-Dampf, eine Ätzung einer Oxidschicht an der Substratoberfläche erfolgen. So kann beispielsweise durch das in Fig. 15 gezeigte Verfahren eine „dead layer" von Solarzellensubstraten entfernt werden oder einfach nur die Oberfläche von Substraten gereinigt und anschließend in einem Prozessschritt 153 eine a-Si-PECVD-Schicht abgeschieden werden.
Fig. 16 zeigt schematisch eine weitere Ausführungsvariante des erfindungsgemäßen Substratbearbeitungsverfahrens, das auf den Verfahrensschritten des Verfahrens aus Fig. 15 aufbaut. Hier wird optional in einem ersten Verfahrensschritt 161 eine O2- Plasmareinigung durchgeführt. In einem weiteren Verfahrensschritt 162 erfolgt ein Dampfätzschritt unter Verwendung eines Dampfgemisches, das HF und reaktionsfähigen Sauerstoff enthält. In diesem Verfahrensschritt kann beispielsweise eine „dead layer" entfernt werden. Nachfolgend erfolgt in dem Verfahrensschritt 163 eine Plasmaoxidation, wodurch beispielsweise ein Substrat zur Solarzellenherstellung gut für eine nachfolgende Siliziumnitridabscheidung in Schritt 164 vorbereitet ist.
Fig. 17 zeigt schematisch eine weitere Ausführungsvariante des erfindungsgemäßen Substratbearbeitungsverfahrens zur Luftoxidentfernung, beispielswiese vor einem a-Si- P ECVD-Abscheidesch ritt.
In einem optionalen Verfahrensschritt 171 erfolgt zunächst eine O2-Plasmareinigung. In einem nachfolgenden Schritt 172 wird Luftoxid von Substraten 40 in einem Dampfätzschritt unter Verwendung von HF-haltigem Dampf geätzt. Die Luftoxidätzung in dem Schritt 172 kann von einer Substratvorderseite 41 und/oder einer Substratrückseite 42 erfolgen.
In einem sich anschließenden Plasmaschritt 173 kann wiederum optional eine O2- Plasmareinigung vorgenommen werden.

Claims

Patentansprüche
1. Substratbearbeitungsanlage (10, 11 , 12, 13), welche wenigstens eine evakuierbare Prozesskammer (20, 21), in welche wenigstens ein Substratträger (30) mit wenigstens einem Substrat (40) einbringbar ist, ein Plasmaerzeugungsmodul (50), wenigstens eine Gaszuführung (61) und wenigstens eine Gasabführung (62) aufweist, dadurch gekennzeichnet, dass in der Prozesskammer (20, 21) ein Dampfätzmodul (70) integriert ist.
2. Substratbearbeitungsanlage nach Anspruch 1 , dadurch gekennzeichnet, dass das Dampfätzmodul (70) ein HF-Dampfätzmodul ist.
3. Substratbearbeitungsanlage nach Anspruch 1 oder 2, dadurch gekennzeichnet, dass die Substratbearbeitungsanlage (10) eine ätzgasresistente Innenauskleidung (80) und einen ätzgasresistenten Substratträger (30) aufweist.
4. Substratbearbeitungsanlage nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass das Dampfätzmodul (70) eine Gasdusche (71) mit mehreren, über eine Fläche der Prozesskammer (20, 21) verteilten Gasauslässen (72) aufweist.
5. Substratbearbeitungsanlage nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass das Dampfätzmodul (70) mit einer Ätzdampfver- sorgungseinheit (90, 90') gekoppelt ist.
6. Substratbearbeitungsanlage nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Ätzdampfversorgungseinheit (90, 90') ein Gasdosiersystem (91) und/oder ein Ätzdampferzeugungssystem mit einem eine flüssige Ätzsubstanz (93) aufweisenden temperierten Raum (94), durch den wenigstens ein Trägergasstrom geführt ist, aufweist.
7. Substratbearbeitungsanlage nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass das Plasmaerzeugungsmodul (50) wenigstens eine flächig ausgebildete, speisbare Elektrode (52) in der Prozesskammer (20, 21) aufweist.
8. Substratbearbeitungsanlage nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass der Substratträger (30) wenigstens eine Substrat- aufläge (31) aufweist, die einen flächigen Stützbereich (32) für einen Umfangsbe- reich (43) des wenigstens einen Substrates (40) aufweist.
9. Substratbearbeitungsanlage nach Anspruch 8, dadurch gekennzeichnet, dass die Substratauflage (31) innerhalb des Stützbereiches (32) eine Öffnung (33) aufweist.
10. Substratbearbeitungsanlage nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass in der Prozesskammer (20, 21) wenigstens ein In- nenvolumenreduzierungsbauteil (81) vorgesehen ist.
11. Substratbearbeitungsanlage nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Substratbearbeitungsanlage (10) eine Durch- lauf-Anlage ist.
12. Substratbearbeitungsanlage nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Substratbearbeitungsanlage (10) eine Anlage zur Herstellung von Solarzellen ist.
13. Substratbearbeitungsanlage nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Prozesskammer (20, 21) eine Heiz- und/oder Kühlvorrichtung (26) aufweist oder mit einer Heiz- und/oder Kühlvorrichtung (26) gekoppelt ist.
14. Substratbearbeitungsverfahren, wobei in wenigstens eine evakuierbare Prozesskammer (20, 21) wenigstens ein Substratträger (30) mit wenigstens einem Substrat (40) eingebracht wird und in der Prozesskammer (20, 21) in einem Plasma- prozess durch ein Plasmaerzeugungsmodul (50) in einem Gas oder einem Gasgemisch ein Plasma erzeugt und eine Beschichtung, Ätzung, Oberflächenmodifizierung und/oder Reinigung des Substrates (40) vorgenommen wird, dadurch gekennzeichnet, dass in der Prozesskammer (20, 21) vor und/oder nach und/oder abwechselnd mit dem Plasmaprozess eine Dampfätzung des wenigstens einen Substrates (40) durchgeführt wird.
15. Substratbearbeitungsverfahren nach Anspruch 14, dadurch gekennzeichnet, dass die Dampfätzung mit HF-haltigem Dampf durchgeführt wird.
16. Substratbearbeitungsverfahren nach Anspruch 14 oder 15, dadurch gekennzeichnet, dass mit dem Substratbearbeitungsverfahren Substrate (40) zur Herstellung von Solarzellen bearbeitet werden.
17. Substratbearbeitungsverfahren nach einem der Ansprüche 14 bis 16, dadurch gekennzeichnet, dass in der wenigstens einen Prozesskammer (20, 21) in einem HF-Dampfätzschritt PSG von einer Vorderseite (41) des Substrates (40) geätzt wird und in einem nachfolgenden Prozessschritt in der Prozesskammer (20, 21) eine Plasmaoxidation einer oder mehrerer Oberflächenlagen des Substrates (40) erfolgt.
18. Substratbearbeitungsverfahren nach einem der Ansprüche 14 bis 17, dadurch gekennzeichnet, dass in der Prozesskammer (20) oder einer weiteren Prozesskammer (21) in einem HF-Dampfätzschritt PSG von einer Rückseite (42) des Substrates (40) geätzt wird und in einem nachfolgenden Prozessschritt in der Prozesskammer (20, 21) in einem Plasmaätzschritt ein Emitterrückseitenätzen des Substrates (40) vorgenommen wird.
19. Substratbearbeitungsverfahren nach einem der Ansprüche 17 oder 18, dadurch gekennzeichnet, dass nach dem HF-Dampfätzschritt zum Ätzen des PSG in der Prozesskammer (20, 21) ein Dampfätzschritt mit einem HF und O3 enthaltenden Dampfgemisch zum Ätzen von Metallionen von dem Substrat (40) durchgeführt wird.
20. Substratbearbeitungsverfahren nach einem der Ansprüche 17 bis 19, dadurch gekennzeichnet, dass in der Prozesskammer (20) oder einer weiteren Prozess-
. kammer (21) eine O2-Plasmareinigung vor dem HF-Dampfätzschritt und/oder nach dem Emitterrückseitenätzen des Substrates (40) durchgeführt wird.
21. Substratbearbeitungsverfahren nach einem der Ansprüche 14 bis 20, dadurch gekennzeichnet, dass in der Prozesskammer (20) oder einer weiteren Prozesskammer (21) eine Plasmaoxidation einer oder mehrerer Oberflächenlagen des Substrates (40) erfolgt und in einem nachfolgenden Prozessschritt in der Prozesskammer (20, 21) eine HF-Dampfätzung der oxidierten Oberflächenlagen erfolgt.
22. Substratbearbeitungsverfahren nach Anspruch 21 , dadurch gekennzeichnet, dass die Plasmaoxidation und die HF-Dampfätzung mehrfach alternierend ausgeführt werden.
23. Substratbearbeitungsverfahren nach einem der Ansprüche 14 bis 20, dadurch gekennzeichnet, dass in der Prozesskammer (20) oder einer weiteren Prozesskammer (21) eine O2-Plasmareinigung und in einem nachfolgenden Prozessschritt in der Prozesskammer (20, 21) eine Oberflächenschicht des Substrates (40) in einem Dampfätzschritt unter Verwendung von HF-haltigem Dampf und reaktionsfähigem Sauerstoff geätzt wird.
24. Substratbearbeitungsverfahren nach einem der Ansprüche 14 bis 16, dadurch gekennzeichnet, dass in der Prozesskammer (20) oder einer weiteren Prozesskammer (21) in einem HF-Dampfätzschritt Luftoxid von einer Vorderseite (41) und/oder einer Rückseite (42) des Substrates (40) entfernt wird, wobei vor und/oder nach dem HF-Dampfätzschritt eine O2-Plasmareinigung des Substrates (40) in dieser Prozesskammer (20, 21) durchgeführt wird.
PCT/DE2009/000383 2009-03-17 2009-03-17 Substratbearbeitungsanlage und substratbearbeitungsverfahren WO2010105585A1 (de)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US13/055,745 US20110124144A1 (en) 2009-03-17 2009-03-17 Substrate processing system and substrate processing method
PCT/DE2009/000383 WO2010105585A1 (de) 2009-03-17 2009-03-17 Substratbearbeitungsanlage und substratbearbeitungsverfahren
JP2012500061A JP2012521075A (ja) 2009-03-17 2009-03-17 基板処理装置および基板処理方法
EP09775849A EP2409313A1 (de) 2009-03-17 2009-03-17 Substratbearbeitungsanlage und substratbearbeitungsverfahren
KR1020107021459A KR20110138142A (ko) 2009-03-17 2009-03-17 기판 처리 장치 및 기판 처리 방법
CN2009801132753A CN102007565A (zh) 2009-03-17 2009-03-17 基片处理系统和基片处理方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/DE2009/000383 WO2010105585A1 (de) 2009-03-17 2009-03-17 Substratbearbeitungsanlage und substratbearbeitungsverfahren

Publications (2)

Publication Number Publication Date
WO2010105585A1 true WO2010105585A1 (de) 2010-09-23
WO2010105585A8 WO2010105585A8 (de) 2010-11-18

Family

ID=41151758

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/DE2009/000383 WO2010105585A1 (de) 2009-03-17 2009-03-17 Substratbearbeitungsanlage und substratbearbeitungsverfahren

Country Status (6)

Country Link
US (1) US20110124144A1 (de)
EP (1) EP2409313A1 (de)
JP (1) JP2012521075A (de)
KR (1) KR20110138142A (de)
CN (1) CN102007565A (de)
WO (1) WO2010105585A1 (de)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013124394A3 (de) * 2012-02-23 2013-10-17 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zum herstellen einer solarzelle
TWI745657B (zh) * 2018-06-28 2021-11-11 日商日立全球先端科技股份有限公司 蝕刻處理方法及蝕刻處理裝置

Families Citing this family (158)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20130089944A1 (en) * 2010-06-11 2013-04-11 Amtech Systems, Inc. Solar cell silicon wafer process
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
CN102244149A (zh) * 2011-07-20 2011-11-16 苏州阿特斯阳光电力科技有限公司 一种硅太阳能电池扩散死层的去除方法
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8664012B2 (en) * 2011-09-30 2014-03-04 Tokyo Electron Limited Combined silicon oxide etch and contamination removal process
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US20130247967A1 (en) * 2012-03-23 2013-09-26 Scott Harrington Gaseous ozone (o3) treatment for solar cell fabrication
CN104221167A (zh) * 2012-05-09 2014-12-17 新加坡国立大学 硅片太阳能电池的非酸性各向同性回蚀
KR101336594B1 (ko) * 2012-05-29 2013-12-05 주식회사 엔씨디 태양전지용 박막 증착장치
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
CN103964371B (zh) * 2013-01-29 2016-07-06 无锡华润上华半导体有限公司 硅晶片的钝化层的腐蚀方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9837259B2 (en) 2014-08-29 2017-12-05 Sunpower Corporation Sequential etching treatment for solar cell fabrication
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
EP3038169A1 (de) * 2014-12-22 2016-06-29 Solvay SA Verfahren zur Herstellung von Solarzellen
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
DE102015009861A1 (de) * 2015-08-04 2017-02-09 Manz Ag Substratbearbeitungsvorrichtung und Beschichtungsverfahren
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105226111A (zh) * 2015-09-01 2016-01-06 浙江晶科能源有限公司 一种太阳电池发射极的制备方法
JP6601257B2 (ja) * 2016-02-19 2019-11-06 東京エレクトロン株式会社 基板処理方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR101895931B1 (ko) * 2016-05-26 2018-09-10 세메스 주식회사 기판 처리 장치 및 방법
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
JP6700605B2 (ja) * 2016-11-16 2020-05-27 日本電気硝子株式会社 ガラス基板の製造方法
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
CN110573256B (zh) * 2016-12-30 2022-09-02 罗氏血液诊断股份有限公司 样品处理系统及方法
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
DE102017210450A1 (de) * 2017-06-21 2018-12-27 Siltronic Ag Verfahren, Steuerungssystem und Anlage zum Bearbeiten einer Halbleiterscheibe sowie Halbleiterscheibe
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN207909907U (zh) * 2018-05-28 2018-09-25 君泰创新(北京)科技有限公司 用于镀膜的承载托盘、硅片承载装置及硅片传输系统
TWI776026B (zh) * 2018-06-04 2022-09-01 美商帕斯馬舍門有限責任公司 切割晶粒附接膜的方法
CN109065665B (zh) * 2018-06-28 2020-05-22 华南理工大学 一种碲化镉纳米晶薄膜的微刻蚀方法
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN111029278B (zh) * 2019-12-10 2021-06-29 长江存储科技有限责任公司 一种晶圆片的加工方法和系统
CN111549379B (zh) * 2020-05-29 2021-07-30 天津大学 一种等离子体改性及刻蚀一体化晶体超光滑表面加工方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001008207A1 (en) * 1999-07-23 2001-02-01 Surface Technology Systems Plc Method and apparatus for anisotropic etching
US20020058422A1 (en) * 2000-11-13 2002-05-16 Won-Ick Jang Stiction-free microstructure releasing method for fabricating MEMS device
EP1237177A2 (de) * 2001-03-01 2002-09-04 Asm Japan K.K. Vorrichtung und Verfahren zum Ätzen von Halbleiterscheiben
US20030159655A1 (en) * 2002-02-26 2003-08-28 Ping-Wei Lin Apparatus for depositing an insulation layer in a trench
US20070128761A1 (en) * 2005-11-29 2007-06-07 Kyocera Corporation Manufacturing Method of Solar Cell Element

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2727683C3 (de) * 1977-06-20 1982-09-09 Siemens AG, 1000 Berlin und 8000 München Verfahren zur Beschichtung der einzelnen Fasern eines Faserbündels sowie Vorrichtung zur Durchführung dieses Verfahrens
JPH05304122A (ja) * 1992-04-28 1993-11-16 Matsushita Electric Ind Co Ltd ドライエッチング方法およびドライエッチング装置
JP3181128B2 (ja) * 1993-01-06 2001-07-03 キヤノン株式会社 半導体プロセス装置
JP2870522B2 (ja) * 1997-05-09 1999-03-17 日本電気株式会社 半導体装置の製造方法
DE10141142B4 (de) * 2001-08-24 2004-11-11 Roth & Rau Ag Einrichtung zur reaktiven Plasmabehandlung von Substraten und Verfahren zur Anwendung
DE102005032807A1 (de) * 2005-07-12 2007-01-18 Merck Patent Gmbh Kombinierte Ätz- und Dotiermedien für Siliziumdioxidschichten und darunter liegendes Silizium
DE102005033769B4 (de) * 2005-07-15 2009-10-22 Systec System- Und Anlagentechnik Gmbh & Co.Kg Verfahren und Vorrichtung zur Mehrkathoden-PVD-Beschichtung und Substrat mit PVD-Beschichtung
CA2623382A1 (en) * 2005-09-23 2007-04-05 Tom Rust Systems and methods for manufacturing photovoltaic devices
JP5229711B2 (ja) * 2006-12-25 2013-07-03 国立大学法人名古屋大学 パターン形成方法、および半導体装置の製造方法
JP4886565B2 (ja) * 2007-03-26 2012-02-29 住友精密工業株式会社 基板処理装置
US7824519B2 (en) * 2007-05-18 2010-11-02 Lam Research Corporation Variable volume plasma processing chamber and associated methods
US20100275982A1 (en) * 2007-09-04 2010-11-04 Malcolm Abbott Group iv nanoparticle junctions and devices therefrom

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001008207A1 (en) * 1999-07-23 2001-02-01 Surface Technology Systems Plc Method and apparatus for anisotropic etching
US20020058422A1 (en) * 2000-11-13 2002-05-16 Won-Ick Jang Stiction-free microstructure releasing method for fabricating MEMS device
EP1237177A2 (de) * 2001-03-01 2002-09-04 Asm Japan K.K. Vorrichtung und Verfahren zum Ätzen von Halbleiterscheiben
US20030159655A1 (en) * 2002-02-26 2003-08-28 Ping-Wei Lin Apparatus for depositing an insulation layer in a trench
US20070128761A1 (en) * 2005-11-29 2007-06-07 Kyocera Corporation Manufacturing Method of Solar Cell Element

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP2409313A1 *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013124394A3 (de) * 2012-02-23 2013-10-17 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zum herstellen einer solarzelle
US9461195B2 (en) 2012-02-23 2016-10-04 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Method for producing a solar cell
TWI745657B (zh) * 2018-06-28 2021-11-11 日商日立全球先端科技股份有限公司 蝕刻處理方法及蝕刻處理裝置

Also Published As

Publication number Publication date
CN102007565A (zh) 2011-04-06
KR20110138142A (ko) 2011-12-26
EP2409313A1 (de) 2012-01-25
US20110124144A1 (en) 2011-05-26
WO2010105585A8 (de) 2010-11-18
JP2012521075A (ja) 2012-09-10

Similar Documents

Publication Publication Date Title
WO2010105585A1 (de) Substratbearbeitungsanlage und substratbearbeitungsverfahren
DE102010000002B4 (de) Verfahren zur Abscheidung von Mehrlagenschichten und/oder Gradientenschichten
CN102154711A (zh) 一种单晶硅清洗液及预清洗工艺
EP2338179B1 (de) Verfahren zur behandlung von substraten und behandlungseinrichtung zur durchführung des verfahrens
DE102014111282A1 (de) Verfahren zum sauren Ätzen von Silizium-Wafern
DE10335099B4 (de) Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
WO2011012185A1 (de) Reinigen einer prozesskammer
DE102012102745A1 (de) Verfahren zur Herstellung einer Solarzelle sowie Solarzelle
WO2008043827A2 (de) Verfahren zur passivierung von solarzellen
DE102006042329B4 (de) Verfahren zum selektiven plasmachemischen Trockenätzen von auf Oberflächen von Silicium-Wafern ausgebildetem Phosphorsilikatglas
DE102018124565A1 (de) Solarzellen-Beschichtungsanlage
DE102012107372B4 (de) Alkalischer Ätzprozess und Vorrichtung zur Durchführung des Verfahrens
EP2491577B1 (de) Verfahren zum ausbilden eines dotierstoffprofils
DE102005040596B4 (de) Verfahren zur Entfernung einer dotierten Oberflächenschicht an Rückseiten von kristallinen Silizium-Solarwafern
DE112013001393T5 (de) Verfahren zum Dünnen der aktiven Siliziumschicht eines Substrats vom Typ &#34;Silizium-auf-lsolator&#34; (SOI))
DE102004062355A1 (de) Verfahren zum Behandeln einer Halbleiterscheibe mit einem gasförmigen Medium sowie damit behandelte Halbleiterscheibe
WO2023156123A1 (de) Erzeugung texturierter oberflächen, herstellung von tandemsolarzellen und tandemsolarzelle
WO2019145485A1 (de) Verfahren und vorrichtung zur behandlung von geätzten oberflächen eines halbleitersubstrats unter verwendung von ozonhaltigem medium
DE10340147B4 (de) Trockenätzverfahren und Trockenätzvorrichtung
WO2016012405A1 (de) Verfahren und vorrichtung zur strukturierung von ober- und unterseite eines halbleitersubstrats
WO2019016282A1 (de) Verfahren und vorrichtung zum texturieren einer oberfläche eines multikristallinen diamantdraht-gesägten siliziumsubstrats unter verwendung von ozonhaltigem medium
DE10212657A1 (de) Verfahren zur Reinigung einer Siliciumscheibe nach der Politur
WO2019145486A1 (de) Verfahren und vorrichtung zur reinigung von geätzten oberflächen eines halbleitersubstrats
TW201244841A (en) Radical cleaning apparatus and method
DE102022104191A1 (de) Verfahren und nassbank zur in-line-prozessierung von solarzellensubstraten

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200980113275.3

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 2009775849

Country of ref document: EP

ENP Entry into the national phase

Ref document number: 20107021459

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 2012500061

Country of ref document: JP

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09775849

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 13055745

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 1120090045162

Country of ref document: DE