WO2010105585A8 - Substratbearbeitungsanlage und substratbearbeitungsverfahren - Google Patents

Substratbearbeitungsanlage und substratbearbeitungsverfahren Download PDF

Info

Publication number
WO2010105585A8
WO2010105585A8 PCT/DE2009/000383 DE2009000383W WO2010105585A8 WO 2010105585 A8 WO2010105585 A8 WO 2010105585A8 DE 2009000383 W DE2009000383 W DE 2009000383W WO 2010105585 A8 WO2010105585 A8 WO 2010105585A8
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
substrate processing
process chamber
plasma
processing system
Prior art date
Application number
PCT/DE2009/000383
Other languages
English (en)
French (fr)
Other versions
WO2010105585A1 (de
Inventor
Hermann Schlemm
Matthias Uhlig
Original Assignee
Roth & Rau Ag
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Roth & Rau Ag filed Critical Roth & Rau Ag
Priority to PCT/DE2009/000383 priority Critical patent/WO2010105585A1/de
Priority to US13/055,745 priority patent/US20110124144A1/en
Priority to CN2009801132753A priority patent/CN102007565A/zh
Priority to JP2012500061A priority patent/JP2012521075A/ja
Priority to KR1020107021459A priority patent/KR20110138142A/ko
Priority to EP09775849A priority patent/EP2409313A1/de
Publication of WO2010105585A1 publication Critical patent/WO2010105585A1/de
Publication of WO2010105585A8 publication Critical patent/WO2010105585A8/de

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0236Special surface textures
    • H01L31/02363Special surface textures of the semiconductor body itself, e.g. textured active layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Drying Of Semiconductors (AREA)
  • Photovoltaic Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

Substratbearbeitungsanlage, welche wenigstens eine evakuierbare Prozesskammer (20) aufweist, in welche wenigstens ein Substratträger (30) mit wenigstens einem Substrat (40) einbringbar ist, und welche ein Plasmaerzeugungsmodul (50), wenigstens eine Gaszuführung (61) und wenigstens eine Gasabführung aufweist (62). Ferner ein Substratbearbeitungsverfahren, wobei in wenigstens eine evakuierbare Prozesskammer wenigstens ein Substratträger mit wenigstens einem Substrat eingebracht wird und in der Prozesskammer in einem Plasmaprozess durch ein Plasmaerzeugungsmodul in einem Gas oder einem Gasgemisch ein Plasma erzeugt und eine Beschichtung, Ätzung, Oberflächenmodifizierung und/oder Reinigung des Substrates vorgenommen wird. Es ist die Aufgabe der vorliegenden Erfindung stark oberflächentexturierte Substrate mit hohem Durchsatz und hoher Qualität isotrop zu ätzen. Die Aufgabe wird einerseits durch eine Substratbearbeitungsanlage der genannten Gattung gelöst, bei welcher in der Prozesskammer ein Dampfätzmodul (70) integriert ist. Die Aufgabe wird ferner durch ein Substratbearbeitungsverfahren der genannten Gattung gelöst, bei welchem in der Prozesskammer vor und/oder nach und/oder abwechselnd mit dem Plasmaprozess eine Dampfätzung des wenigstens einen Substrates durchgeführt wird.
PCT/DE2009/000383 2009-03-17 2009-03-17 Substratbearbeitungsanlage und substratbearbeitungsverfahren WO2010105585A1 (de)

Priority Applications (6)

Application Number Priority Date Filing Date Title
PCT/DE2009/000383 WO2010105585A1 (de) 2009-03-17 2009-03-17 Substratbearbeitungsanlage und substratbearbeitungsverfahren
US13/055,745 US20110124144A1 (en) 2009-03-17 2009-03-17 Substrate processing system and substrate processing method
CN2009801132753A CN102007565A (zh) 2009-03-17 2009-03-17 基片处理系统和基片处理方法
JP2012500061A JP2012521075A (ja) 2009-03-17 2009-03-17 基板処理装置および基板処理方法
KR1020107021459A KR20110138142A (ko) 2009-03-17 2009-03-17 기판 처리 장치 및 기판 처리 방법
EP09775849A EP2409313A1 (de) 2009-03-17 2009-03-17 Substratbearbeitungsanlage und substratbearbeitungsverfahren

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/DE2009/000383 WO2010105585A1 (de) 2009-03-17 2009-03-17 Substratbearbeitungsanlage und substratbearbeitungsverfahren

Publications (2)

Publication Number Publication Date
WO2010105585A1 WO2010105585A1 (de) 2010-09-23
WO2010105585A8 true WO2010105585A8 (de) 2010-11-18

Family

ID=41151758

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/DE2009/000383 WO2010105585A1 (de) 2009-03-17 2009-03-17 Substratbearbeitungsanlage und substratbearbeitungsverfahren

Country Status (6)

Country Link
US (1) US20110124144A1 (de)
EP (1) EP2409313A1 (de)
JP (1) JP2012521075A (de)
KR (1) KR20110138142A (de)
CN (1) CN102007565A (de)
WO (1) WO2010105585A1 (de)

Families Citing this family (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20130089944A1 (en) * 2010-06-11 2013-04-11 Amtech Systems, Inc. Solar cell silicon wafer process
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102244149A (zh) * 2011-07-20 2011-11-16 苏州阿特斯阳光电力科技有限公司 一种硅太阳能电池扩散死层的去除方法
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8664012B2 (en) * 2011-09-30 2014-03-04 Tokyo Electron Limited Combined silicon oxide etch and contamination removal process
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
DE102012101456A1 (de) 2012-02-23 2013-08-29 Schott Solar Ag Verfahren zum Herstellen einer Solarzelle
US20130247967A1 (en) * 2012-03-23 2013-09-26 Scott Harrington Gaseous ozone (o3) treatment for solar cell fabrication
US20150044812A1 (en) * 2012-05-09 2015-02-12 National University Of Singapore Non-acidic isotropic etch-back for silicon wafer solar cells
KR101336594B1 (ko) * 2012-05-29 2013-12-05 주식회사 엔씨디 태양전지용 박막 증착장치
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
CN103964371B (zh) * 2013-01-29 2016-07-06 无锡华润上华半导体有限公司 硅晶片的钝化层的腐蚀方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9837259B2 (en) 2014-08-29 2017-12-05 Sunpower Corporation Sequential etching treatment for solar cell fabrication
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
EP3038169A1 (de) * 2014-12-22 2016-06-29 Solvay SA Verfahren zur Herstellung von Solarzellen
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
DE102015009861A1 (de) * 2015-08-04 2017-02-09 Manz Ag Substratbearbeitungsvorrichtung und Beschichtungsverfahren
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105226111A (zh) * 2015-09-01 2016-01-06 浙江晶科能源有限公司 一种太阳电池发射极的制备方法
JP6601257B2 (ja) * 2016-02-19 2019-11-06 東京エレクトロン株式会社 基板処理方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR101895931B1 (ko) * 2016-05-26 2018-09-10 세메스 주식회사 기판 처리 장치 및 방법
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
JP6700605B2 (ja) * 2016-11-16 2020-05-27 日本電気硝子株式会社 ガラス基板の製造方法
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
CN110573256B (zh) * 2016-12-30 2022-09-02 罗氏血液诊断股份有限公司 样品处理系统及方法
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
DE102017210450A1 (de) * 2017-06-21 2018-12-27 Siltronic Ag Verfahren, Steuerungssystem und Anlage zum Bearbeiten einer Halbleiterscheibe sowie Halbleiterscheibe
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN207909907U (zh) * 2018-05-28 2018-09-25 君泰创新(北京)科技有限公司 用于镀膜的承载托盘、硅片承载装置及硅片传输系统
TWI741262B (zh) * 2018-06-04 2021-10-01 美商帕斯馬舍門有限責任公司 切割晶粒附接膜的方法
CN109065665B (zh) * 2018-06-28 2020-05-22 华南理工大学 一种碲化镉纳米晶薄膜的微刻蚀方法
JP7113681B2 (ja) * 2018-06-28 2022-08-05 株式会社日立ハイテク エッチング処理方法およびエッチング処理装置
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN111029278B (zh) * 2019-12-10 2021-06-29 长江存储科技有限责任公司 一种晶圆片的加工方法和系统
CN111549379B (zh) * 2020-05-29 2021-07-30 天津大学 一种等离子体改性及刻蚀一体化晶体超光滑表面加工方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2727683C3 (de) * 1977-06-20 1982-09-09 Siemens AG, 1000 Berlin und 8000 München Verfahren zur Beschichtung der einzelnen Fasern eines Faserbündels sowie Vorrichtung zur Durchführung dieses Verfahrens
JPH05304122A (ja) * 1992-04-28 1993-11-16 Matsushita Electric Ind Co Ltd ドライエッチング方法およびドライエッチング装置
JP3181128B2 (ja) * 1993-01-06 2001-07-03 キヤノン株式会社 半導体プロセス装置
JP2870522B2 (ja) * 1997-05-09 1999-03-17 日本電気株式会社 半導体装置の製造方法
GB9917305D0 (en) * 1999-07-23 1999-09-22 Surface Tech Sys Ltd Method and apparatus for anisotropic etching
KR100381011B1 (ko) * 2000-11-13 2003-04-26 한국전자통신연구원 멤즈소자 제조용 미세구조체를 고착없이 띄우는 방법
JP2002261081A (ja) * 2001-03-01 2002-09-13 Asm Japan Kk 半導体ウエハのエッチング装置及び方法
DE10141142B4 (de) * 2001-08-24 2004-11-11 Roth & Rau Ag Einrichtung zur reaktiven Plasmabehandlung von Substraten und Verfahren zur Anwendung
TW538461B (en) * 2002-02-26 2003-06-21 Silicon Integrated Sys Corp Device for depositing an insulating layer in a trench
DE102005032807A1 (de) * 2005-07-12 2007-01-18 Merck Patent Gmbh Kombinierte Ätz- und Dotiermedien für Siliziumdioxidschichten und darunter liegendes Silizium
DE102005033769B4 (de) * 2005-07-15 2009-10-22 Systec System- Und Anlagentechnik Gmbh & Co.Kg Verfahren und Vorrichtung zur Mehrkathoden-PVD-Beschichtung und Substrat mit PVD-Beschichtung
EP1938388A2 (de) * 2005-09-23 2008-07-02 Tom Rust Systeme und verfahren zum herstellen photovoltaischer einrichtungen
JP5064767B2 (ja) * 2005-11-29 2012-10-31 京セラ株式会社 太陽電池素子の製造方法
JP5229711B2 (ja) * 2006-12-25 2013-07-03 国立大学法人名古屋大学 パターン形成方法、および半導体装置の製造方法
JP4886565B2 (ja) * 2007-03-26 2012-02-29 住友精密工業株式会社 基板処理装置
US7824519B2 (en) * 2007-05-18 2010-11-02 Lam Research Corporation Variable volume plasma processing chamber and associated methods
US20100275982A1 (en) * 2007-09-04 2010-11-04 Malcolm Abbott Group iv nanoparticle junctions and devices therefrom

Also Published As

Publication number Publication date
KR20110138142A (ko) 2011-12-26
JP2012521075A (ja) 2012-09-10
CN102007565A (zh) 2011-04-06
WO2010105585A1 (de) 2010-09-23
US20110124144A1 (en) 2011-05-26
EP2409313A1 (de) 2012-01-25

Similar Documents

Publication Publication Date Title
WO2010105585A8 (de) Substratbearbeitungsanlage und substratbearbeitungsverfahren
WO2010047953A3 (en) A remote plasma clean process with cycled high and low pressure clean steps
WO2009114120A3 (en) Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
WO2003063947A3 (en) Method and apparatus for substrate processing
TW200609986A (en) High rate etching using high pressure f2 plasma with argon dilution
WO2009097089A3 (en) Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
TW200718802A (en) Method of using NF3 for removing surface deposits
TW200736412A (en) Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
WO2011031556A3 (en) Gas distribution showerhead and method of cleaning
JP2013503490A5 (de)
TW200943419A (en) Low wet etch rate silicon nitride film
WO2011136982A3 (en) Methods for processing substrates in process systems having shared resources
SG152207A1 (en) Methods for forming high aspect ratio features on a substrate
JP2011192872A5 (de)
WO2009097181A3 (en) Etching chamber having flow equalizer and lower liner
WO2009117624A3 (en) Mono-energetic neutral beam activated chemical processing system and method of using
TW200802547A (en) Selective deposition
WO2008146834A1 (ja) レジスト除去方法、半導体製造方法、及びレジスト除去装置
WO2007140425A3 (en) Process chamber for dielectric gapfill
SG143230A1 (en) Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
TW200802549A (en) Vertical plasma processing apparatus for semiconductor process
WO2007133413A3 (en) Photoresist stripping chamber and methods of etching photoresist on substrates
TW200943412A (en) Method of manufacturing a semiconductor device and a device for treating substrate
WO2011037377A3 (ko) 배치식 에피택셜층 형성장치 및 그 형성방법
TW200721265A (en) Silicon dot forming method and silicon dot forming apparatus

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200980113275.3

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 2009775849

Country of ref document: EP

ENP Entry into the national phase

Ref document number: 20107021459

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 2012500061

Country of ref document: JP

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09775849

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 13055745

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 1120090045162

Country of ref document: DE