WO2006046531A1 - 成膜装置、成膜方法、プログラムおよび記録媒体 - Google Patents

成膜装置、成膜方法、プログラムおよび記録媒体 Download PDF

Info

Publication number
WO2006046531A1
WO2006046531A1 PCT/JP2005/019547 JP2005019547W WO2006046531A1 WO 2006046531 A1 WO2006046531 A1 WO 2006046531A1 JP 2005019547 W JP2005019547 W JP 2005019547W WO 2006046531 A1 WO2006046531 A1 WO 2006046531A1
Authority
WO
WIPO (PCT)
Prior art keywords
film forming
film
aluminum
gas
processing container
Prior art date
Application number
PCT/JP2005/019547
Other languages
English (en)
French (fr)
Inventor
Hirokatsu Kobayashi
Tetsuya Nakano
Masato Koizumi
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Publication of WO2006046531A1 publication Critical patent/WO2006046531A1/ja
Priority to US11/741,939 priority Critical patent/US7713886B2/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31637Deposition of Tantalum oxides, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Definitions

  • Film forming apparatus film forming method, program, and recording medium
  • the present invention relates to a film forming method for forming a thin film on a substrate to be processed, a program for operating a film forming apparatus that performs the film forming method, and a recording medium that stores the program.
  • Manufacturing a semiconductor device includes, for example, a step of forming a thin film on a substrate to be processed, a step of etching the formed thin film, a step of performing surface treatment of the thin film, and the like. Through this process, a semiconductor device having a multilayer wiring structure is manufactured.
  • a semiconductor manufacturing process for example, in a film forming apparatus used in a process of forming a film on a substrate to be processed, various gases related to the film formation are put into a processing container holding the substrate to be processed.
  • the film is formed by supplying.
  • the processing container for holding the substrate to be processed is often formed of aluminum or an aluminum alloy.
  • Aluminum or aluminum alloy is often formed of aluminum or an aluminum alloy.
  • Patent Document 1 Japanese Patent Laid-Open No. 2003-7694
  • Patent Document 2 Japanese Patent Laid-Open No. 2000-367877
  • Patent Document 3 Japanese Patent Laid-Open No. 9-184094
  • Patent Document 4 JP-A-9-302499
  • a novel and useful film forming apparatus, film forming method, program for operating the film forming apparatus for performing the film forming method, and recording medium storing the program which solve the above-described problems For the purpose of providing! Speak.
  • a specific problem of the present invention is that in a film forming method using a film forming gas made of a metal alkoxide, elution of aluminum or an aluminum alloy in a processing container is suppressed and contamination of a substrate to be processed is achieved. It is possible to carry out clean film formation while suppressing the above.
  • the above-described problem is a film forming method for forming a thin film on a substrate to be processed held in a processing container, the step of heating the substrate to be processed; Supplying a film forming gas into the processing container, wherein the film forming gas is a metal alkoxide, the processing container is made of aluminum or an aluminum alloy, and is formed on the inner wall surface of the processing container.
  • the film forming gas is a metal alkoxide
  • the processing container is made of aluminum or an aluminum alloy, and is formed on the inner wall surface of the processing container.
  • the above-described problem is solved by a computer operating a film forming method using a film forming apparatus for forming a thin film on a substrate to be processed held in a processing container.
  • the processing vessel is made of aluminum or an aluminum alloy, and a protective film made of a nonporous anodic oxide coating is formed on the inner wall surface of the processing vessel, and the step of heating the substrate to be processed; And a step of supplying a film forming gas made of metal alkoxide into the processing container.
  • the above problem is solved by a recording medium storing the program.
  • the above-described problem is a film forming apparatus for forming a thin film on a substrate to be processed, in which a holding table for holding the substrate to be processed is provided.
  • the inner wall surface of the processing vessel is heated to 140 ° C. or higher by the heating means, and a protective film made of a nonporous anodic acid coating is formed.
  • a film forming apparatus for forming a thin film on a substrate to be processed held in a processing container, wherein the processing container is made of aluminum or aluminum.
  • the inner wall surface of the processing vessel is made of a lumi-um alloy and is heated to 140 ° C. or more, and a protective film made of a nonporous anodic oxide film is formed.
  • the heating means heats the substrate to be processed.
  • elution of aluminum or an aluminum alloy in a processing container is suppressed, and contamination of a substrate to be processed is suppressed, so that the substrate is clean.
  • Film formation can be performed.
  • FIG. 1 is a diagram schematically showing an example of a film forming apparatus for performing a film forming method according to Example 1.
  • FIG. 1 is a diagram schematically showing an example of a film forming apparatus for performing a film forming method according to Example 1.
  • FIG. 2 is a diagram schematically showing a control device used in the film forming apparatus of Example 1.
  • FIG. 3 is a flowchart showing a film forming method according to Example 1.
  • FIG. 4 is a diagram (part 1) showing a comparison result of the amount of A1 elution due to the difference in treatment of the A1 surface.
  • FIG. 5 is a diagram (part 2) showing the result of comparing the amount of A1 eluted by the difference in the treatment of the A1 surface.
  • FIG. 6 is a diagram (part 3) showing the result of comparing the amount of A1 eluted by the difference in the treatment of the A1 surface.
  • FIG. 7 is a diagram (part 4) showing the result of comparing the amount of A1 eluted by the difference in the treatment of the A1 surface.
  • FIG. 1 is a diagram schematically showing an example of a film forming apparatus that performs a film forming method according to Example 1 of the present invention.
  • a film forming apparatus 10 shown in this figure holds a substrate W to be processed such as a semiconductor wafer or an LCD substrate, and is made of, for example, a substantially disk-shaped holding base 12 made of ceramic. And a processing vessel 11 made of aluminum or an aluminum alloy.
  • the holding table 12 is supported by a holding table support portion 13 made of aluminum or an aluminum alloy, for example, formed so that the bottom surface force of the processing container 11 is raised.
  • a purge gas discharge unit 19 made of aluminum or an aluminum alloy is installed so as to release the purge gas into a space 12A described later so as to be sandwiched between the holding table support unit 13 and the bottom surface of the processing vessel 11. Yes.
  • an aluminum or a supply of a film forming gas which is a raw material for a thin film formed on the substrate to be processed W, on the upper part of the processing container 11 so as to substantially face the holding table 12
  • a shower head portion 14 made of an aluminum alloy is installed. Between the shower head unit 14 and the holding table 12, a processing space 11A to which the film forming gas is supplied is formed.
  • the shower head unit 14 includes a film supply gas passage 14A and a gas supply portion 14A made of aluminum or an aluminum alloy and formed with a plurality of gas supply holes communicating with the processing space 11A from the film formation gas passage.
  • the gas supply unit 14A is fitted and the gas supply unit holding unit 14B made of aluminum or aluminum alloy is configured to hold the gas supply unit 14A.
  • a gas line 20 is connected to the gas supply unit 14A, and a film forming gas is supplied from the gas line 20 to the processing space 11A through the gas supply hole.
  • an exhaust port 11B is formed on the bottom surface of the processing container 11, and an exhaust line 25 is connected to the exhaust port 11B.
  • the exhaust line 25 is connected to the exhaust line 25 via a valve 25A, for example, a vacuum.
  • An exhaust means 26 such as a pump is connected so that the inside of the processing vessel 11 can be evacuated to a reduced pressure state.
  • an opening is provided on the bottom surface of the processing container 11 so as to face the holding table 12, and a transmission window 16 is provided in the opening, for example.
  • a heating means 17 having a force such as a heating lamp is installed outside the processing container 11 of the transmission window 16 to heat the holding table 12 or the substrate W to be processed through the transmission window 16.
  • the substrate to be processed W can be brought to a desired temperature.
  • the purge gas discharge unit 19 for example, Ar or N Purge gas is introduced to prevent the deposition gas from entering the space 12A.
  • deposits are prevented from being deposited on the wall surface facing the space 12A such as on the transmission window 16.
  • the gas line 20 connected to the gas supply unit 14A of the shower head unit 14 is provided with a nozzle 20A, which makes it possible to control the supply or stop of the deposition gas.
  • the gas line 20 is provided with a vaporizer 20B for vaporizing a raw material made of liquid, for example.
  • a raw material container 24 holding a raw material 24A made of, for example, a liquid is connected to the vaporizer 20B via a liquid mass flow controller 20C and a valve 20D installed in the gas line 20.
  • the raw material 24A is pushed out by a pressing gas such as He supplied from a gas line 23 provided with a valve 23A, connected to the raw material container 24, and the flow rate is controlled by the liquid mass flow controller 20C.
  • the supplied raw material is vaporized by a vaporizer to become a film-forming gas which is a gas, and is connected to the vaporizer 20B.
  • the carrier gas line 22 is also supplied with force.
  • the shower head is combined with a carrier gas such as Ar.
  • the structure is supplied from the section 14 to the processing space 11 A.
  • the carrier gas line 22 is provided with a valve 22A and a mass flow controller 22B, and can control the flow rate of the carrier gas supplied to the vaporizer and the start and stop of the supply. .
  • a purge gas line 21 for supplying a purge gas such as Ar is connected to the gas vessel 20B, and a valve 21A and a mass flow controller 21B are installed in the purge gas line 21. , Control the flow rate of purge gas supply to the vaporizer and open the supply Controls such as starting and stopping are possible.
  • the gas line 20 is supplied with an oxidizing gas such as O for oxidizing the film forming gas.
  • a cleaning gas introduction part 15 made of aluminum or aluminum alloy is formed around the shower head part 14 and is substantially donut-shaped.
  • the shower head unit 14 or a treatment is performed.
  • the container 11 is preferably used by heating.
  • the film forming apparatus 10 is provided with a heating means 18 made of, for example, a heater to heat the film forming apparatus, and the condensation of the film forming gas is suppressed.
  • the heating unit 18 includes a heating unit 18A installed in the shower head unit 14, a heating unit 18B installed in the processing container 11, and a heating unit 18C installed in the gas line 20. Have. If necessary, a heating means may be further added to suppress the condensation of the film forming gas.
  • a metal alkoxide is used as the raw material 24A.
  • Metal alkoxide has the property of dissolving aluminum or aluminum of aluminum alloy. For this reason, when a material made of aluminum or an aluminum alloy is used for a processing vessel, a shear head, or a gas line in a conventional film forming apparatus, the thin film formed on the substrate to be processed is not limited to these. There was concern about contamination with aluminum or aluminum alloys eluted by metal alkoxides. In addition, such elution of aluminum becomes conspicuous particularly when the temperature is high, and in the case of this example in which a raw material made of liquid is vaporized and used, the treatment container or the like is used after being heated by heat. Since it is preferable, its suppression has been an important problem.
  • a metal is formed on the inner wall surface of the processing container 11.
  • a protective film p that suppresses elution of metal components such as aluminum by alkoxide is formed.
  • the protective film P is formed by, for example, a nonporous anodic acid coating of aluminum or an aluminum alloy.
  • a film generally referred to as an anodized film is a porous anodized film, and since it is porous, it emits a large amount of gas in a reduced pressure environment.
  • the effect of suppressing aluminum elution due to aluminum was insufficient, and even if a film was formed on the surface of aluminum or aluminum alloy, it was difficult to effectively prevent aluminum elution.
  • the porosity of the nonporous anodic acid coating according to this example is smaller than that of the conventional porous anodic acid coating, the coating from the inner wall of the processing vessel due to the use of metal alkoxide or the like. It has a great effect of suppressing the elution of aluminum by the deposition gas. In this case, if the porosity is 5% or less, the effect of suppressing aluminum elution is increased, which is preferable.
  • Such a non-porous anodic acid coating can be formed on the surface of aluminum or an aluminum alloy as follows.
  • a protective film made of a nonporous anodic acid coating is formed on the surface of the material by subjecting the pretreated material to an anodic oxidation in which the material is electrolyzed in an electrolyte solution.
  • the electrolyte solution is difficult to dissolve the protective film to be formed and can form a nonporous anodic oxide coating, such as boric acid, borate, phosphate, or adipate. It is preferable to use a dissolved electrolyte solution.
  • the material made of aluminum or an aluminum alloy is electrolyzed by being connected to a power source so as to be an anode even if continuous or intermittent.
  • An insoluble conductive material is used for the cathode.
  • the anodic oxide film formed in this way has a low porosity! / ⁇ and V ⁇ ⁇ is slightly nonporous. In this case, the porosity is preferably 5% or less.
  • the non-porous anodic oxide film formed in this way reduces the amount of gas released under reduced pressure and suppresses the elution of the surface of the material such as aluminum or aluminum alloy by the film forming gas such as metal alkoxide. Can do.
  • baking at a temperature of about 300 ° C for the material on which the film is formed is preferable because moisture in the film is reduced.
  • the corrosion resistance of the nonporous anodic oxide coating is improved.
  • the corrosion resistance of the nonporous anodic oxide coating is improved.
  • a metal alkoxide for a metal alkoxide.
  • the effect of suppressing elution on the surface of the material is favorable, which is preferable.
  • Non-porous anodic acid coating A variety of methods can be used to fluorinate the surface of the coating.For example, the surface of the material is diluted with fluorine gas diluted with nitrogen or argon gas at a temperature of about 300 ° C. By using an exposure method or a method in which the surface of the material is exposed to fluorine plasma, a fluorinated layer can be formed on the surface of the nonporous anodic oxide coating to further improve the resistance to the metal alkoxide.
  • the protective film P made of the nonporous anodic oxide film is formed on the inner wall surface of the processing vessel 11, and thus the film made of the metal alkoxide is formed.
  • a film forming method using a film gas the dissolution of aluminum or an aluminum alloy in a processing container is suppressed, contamination of the substrate to be processed is suppressed, and a clean film can be formed.
  • such a protective film P is not limited to the inner wall surface of the processing container 11, and may be, for example, a portion in the processing container 11 that may be exposed to the deposition gas. It can be formed and has the effect of suppressing the elution of aluminum and aluminum alloys.
  • the protective film is formed on a portion exposed to the film forming gas, such as a portion facing the processing space 11A in the processing vessel 11, such as the holding table support portion 13 and the shower head portion 14. It is preferable to form P, and it is also preferable to form a protective film P on the surfaces of the cleaning gas introduction part 15 and the purge gas discharge part 19.
  • an introduction path for introducing a film forming gas for example, a plurality of the gases formed Connect the inner wall surface of the supply hole or the gas supply hole to the gas line 20. It is preferable that the protective film P is also formed on the inner wall surface of the film forming gas passage.
  • the gas line 20 is formed of, for example, aluminum or an aluminum alloy
  • the nonporous anodic oxide coating is not limited to the inner wall surface of the processing vessel, but also the portion where the metal alkoxide, which is a vaporized film forming gas, may come into contact, such as a gas introduction path. It can also be formed on the inner wall surface, and has the effect of suppressing aluminum elution.
  • PET pentaethoxytantalum
  • the present embodiment is not limited to the above-described example.
  • a film forming gas made of a metal alkoxide tetrakisdimethylaminohafnium (Hf (O-t-CH)), isopropoxide
  • Zirconium (Zr (0—i—C H)) can be used. Also on the substrate to be processed
  • control apparatus 10S incorporating a storage medium and a computer (CPU).
  • a control apparatus 10S incorporating a storage medium and a computer (CPU).
  • opening and closing of the valves 20A, 20D, 21A, 22A, 23A, 27A, etc., holding of the heating temperature by the heating means 17, the heating means 17, and changing the liquid mass flow controller 20C, the mass flow controller 2 Operations of IB, 22B, 27B, etc. are controlled by the control means 10S.
  • the operation of the control means 10S is performed by a program stored in a storage medium.
  • FIG. 2 is a diagram schematically showing the structure of the control means 10S.
  • the control device 10S includes a CPU (computer) C, a memory M, a storage medium H such as a node disk, a storage medium R that is a removable storage medium, and a network connection means N. Furthermore, it has a bus Bu to which these are connected, and the bus Bu has a structure connected to, for example, a valve and a heating means.
  • the recording medium H stores a program that operates a film forming apparatus. It is also possible to input via R or network connection means NT.
  • a thin film such as a Ta 2 O film is formed on a substrate to be processed.
  • control unit 10S When performing the above, the control unit 10S operates the film forming apparatus as described below by a program recorded in the storage medium H (which may be referred to as a recipe).
  • a specific operation in the case of forming a Ta 2 O film by the film forming apparatus 10 is as follows.
  • step 1 when the film forming process is started, in step 1 (denoted as S1 in the figure, the same applies below), the heating means 17 holds the holding table 12 on the holding table 12.
  • the to-be-processed substrate W is heated, and the to-be-processed substrate W is maintained in a temperature range of 250 ° C. to 450 ° C., for example.
  • step 2 the valves 23A, 20D, 20A are opened, and a pressure gas such as He is supplied from the gas line 23 to the raw material container 24, whereby, for example, the above-mentioned pentaethoxytantalum is made.
  • the raw material 24A is supplied to the vaporizer 20B, and the pentaethoxytantalum vaporized by the vaporizer 20B becomes a film forming gas and is supplied to the processing space 11A through the shower head portion 14.
  • the flow rate of the deposition gas to be supplied is controlled by controlling the flow rate at which the raw material 24A is supplied to the vaporizer 20B by the liquid mass flow rate controller 20C.
  • the valve 22A is opened, and the film forming gas is supplied to the processing space 11A together with a carrier gas such as Ar.
  • valve 27A is opened, and the flow rate is controlled by the mass flow controller 27B.
  • the deposition gas and the oxidizing gas supplied on the heated substrate to be processed react to form a Ta 2 O film on the substrate to be processed.
  • the processing space 11A is maintained at a pressure of 40 to 1332 Pa, for example, by exhausting the inside of the processing vessel 11 from the exhaust line 25.
  • the temperature of the substrate to be processed is maintained at 250 ° C. to 450 ° C. by being heated by the heating means 17.
  • the valves 23A, 20D, 20A, 22A, 27A are closed to stop the supply of the film forming gas and the oxygen gas, and the processing is performed.
  • the gas remaining in the container is discharged and the film forming process is completed.
  • the film forming method according to the present invention is not limited to the above case.
  • the film forming gas and the oxidizing gas are alternately supplied onto the substrate to be processed, that is, the film forming gas is supplied and discharged.
  • the film forming gas is supplied and discharged.
  • various other methods such as the so-called ALD method, which repeats the supply and discharge of oxidant gas.
  • FIGS. 4 to 6 show that when a sample made of aluminum is left in liquid pentaethoxytantalum heated to 120 ° C., 140 ° C., and 160 ° C., the pentaethoxytantalum (PET This is the result of investigating the increase in the concentration of aluminum eluted in time) with ICP-MS (Inductively Coupled Plasma Mass Spectrometer).
  • ICP-MS Inductively Coupled Plasma Mass Spectrometer.
  • the experiment compares the case where the aluminum surface is subjected to organic mechanical chemical polishing (OMCP treatment) and the case where the aluminum surface is subjected to OMCP treatment and a protective film made of a nonporous anodic acid coating is formed. ing.
  • OMCP treatment organic mechanical chemical polishing
  • the data at time 0 is the analysis result of the PET solution before the aluminum sample is put into the PET solution.
  • the A1 elution amount is 5 ppb or less, it is below the lower limit of quantification of the ICP-MS used for the measurement. .
  • the amount of A1 elution is determined only when OMCP treatment is applied or when nonporous anodic acid coating is applied in addition to OMCP treatment. In any case, it is 5 ppb, which is a preferable range.
  • the Al elution amount is lOppb, which is less than lZioo compared to the OMCP treatment alone.
  • the non-porous anodic oxide film has a feature of protecting the underlying aluminum or aluminum alloy against the metal alkoxide used as a film forming gas, for example, pentaethoxy tantalum.
  • a film forming gas for example, pentaethoxy tantalum.
  • aluminum has an effect of suppressing elution into the deposition gas.
  • the film forming method of this embodiment elution of aluminum or aluminum alloy in the processing vessel is suppressed, contamination of the substrate to be processed is suppressed, and clean film formation can be performed.
  • the temperature of the surface on which the protective film P is formed is 140 ° C. or higher, the A1 elution amount is suppressed and a clean growth is achieved. It turns out that a film is possible.
  • FIG. 7 shows the results of examining the amount of aluminum eluted in dilute hydrochloric acid when the aluminum alloy was brought into contact with dilute hydrochloric acid.
  • the experiment compared the case where the surface treatment was changed using an aluminum alloy corresponding to JIS standard A5 052 as series 1, and the experiment was conducted using an aluminum alloy corresponding to JIS standard A1050 as series 2. Comparisons are made when the surface treatment is changed.

Abstract

 本発明は、金属アルコキシドよりなる成膜ガスを用いた成膜方法においいて、処理容器内のアルミニウムまたはアルミニウム合金の溶出を抑制し、被処理基板の汚染を抑制した清浄な成膜を実施可能とすることを目的としている。  そのため、本発明は、処理容器内に保持された被処理基板上に薄膜を成膜する成膜方法であって、前記被処理基板を加熱する工程と、前記処理容器内に成膜ガスを供給する工程と、を有し、前記成膜ガスは、金属アルコキシドよりなり、前記処理容器はアルミニウムまたはアルミニウム合金よりなり、当該処理容器の内壁面には無孔質陽極酸化被膜よりなる保護膜が形成されていることを特徴とする成膜方法を用いる。

Description

明 細 書
成膜装置、成膜方法、プログラムおよび記録媒体
技術分野
[0001] 本発明は、被処理基板上に薄膜を形成する成膜方法、当該成膜方法を実施する 成膜装置を動作させるプログラム、および当該プログラムを記憶する記録媒体に関す る。
背景技術
[0002] 半導体装置の製造には、例えば被処理基板上に薄膜を成膜する工程や、または 成膜された薄膜をエッチングする工程、薄膜の表面処理を行う工程などがあり、この ような複数の工程を経て、多層配線構造を有する半導体装置が製造される。
[0003] このような半導体製造工程のうち、例えば被処理基板上に成膜を行う工程で用いる 成膜装置では、成膜に係る様々なガスを、被処理基板が保持された処理容器内に 供給して成膜を行っている。
[0004] 上記の成膜装置の場合、被処理基板を保持する処理容器は、アルミニウムまたは アルミニウム合金により形成されることが多 、。アルミニウムまたはアルミニウム合金は
、軽量であって加工が容易であり、また比較的入手が容易であるため、成膜装置の 処理容器として広く用いられるに至って!/ヽる。
特許文献 1:特開 2003— 7694号公報
特許文献 2:特開 2000— 367877号公報
特許文献 3 :特開平 9— 184094号公報
特許文献 4:特開平 9— 302499号公報
発明の開示
発明が解決しょうとする課題
[0005] しかし、アルミニウムまたはアルミニウム合金よりなる処理容器は、特定のガスを当該 処理容器内に供給した場合、処理容器を構成するアルミニウムが溶出してしまい、溶 出したアルミニウムなどが飛散して被処理基板に付着し、汚染の原因となってしまう 場合があった。 [0006] 例えば、処理容器内に金属アルコキシドよりなるガスを供給した場合には、アルミ二 ゥムが溶出してしまう問題が顕著であり、被処理基板がアルミニウムや、またはアルミ -ゥム合金に含有される金属などにより、汚染されてしまう可能性が生じていた。
[0007] そこで、本発明では上記の問題を解決した、新規で有用な成膜装置、成膜方法、 当該成膜方法を実施する成膜装置を動作させるプログラム、および当該プログラムを 記憶する記録媒体を提供することを目的として!ヽる。
[0008] 本発明の具体的な課題は、金属アルコキシドよりなる成膜ガスを用いた成膜方法に おいいて、処理容器内のアルミニウムまたはアルミニウム合金の溶出を抑制し、被処 理基板の汚染を抑制した清浄な成膜を実施可能とすることである。
課題を解決するための手段
[0009] 本発明の第 1の観点では、上記の課題を、処理容器内に保持された被処理基板上 に薄膜を成膜する成膜方法であって、前記被処理基板を加熱する工程と、前記処理 容器内に成膜ガスを供給する工程と、を有し、前記成膜ガスは、金属アルコキシドょ りなり、前記処理容器はアルミニウムまたはアルミニウム合金よりなり、当該処理容器 の内壁面には無孔質陽極酸ィ匕被膜よりなる保護膜が形成されていることを特徴とす る成膜方法により、解決する。
[0010] また、本発明の第 2の観点では、上記の課題を、処理容器内に保持された被処理 基板上に薄膜を成膜する、成膜装置による成膜方法をコンピュータに動作させるプロ グラムであって、前記処理容器はアルミニウムまたはアルミニウム合金よりなり、当該 処理容器の内壁面には無孔質陽極酸化被膜よりなる保護膜が形成されており、前記 被処理基板を加熱する工程と、前記処理容器内に金属アルコキシドよりなる成膜ガ スを供給する工程と、を有することを特徴とするプログラムにより、解決する。
[0011] また、本発明の第 3の観点では、上記の課題を、当該プログラムを記憶した記録媒 体によりにより、解決する。
[0012] また、本発明の第 4の観点では、上記の課題を、被処理基板上に薄膜を成膜する 成膜装置であって、前記被処理基板を保持する保持台を内部に備えた、アルミ-ゥ ムまたはアルミニウム合金よりなる処理容器と、前記処理容器に金属アルコキシドより なる成膜ガスを供給する成膜ガス供給部と、前記処理容器に設置された加熱手段と 、を有し、前記処理容器の内壁面は、前記加熱手段により 140°C以上に加熱されると 共に、無孔質陽極酸ィ匕被膜よりなる保護膜が形成されて ヽることを特徴とする成膜装 置により、解決する。
[0013] また、本発明の第 5の観点では、上記の課題を、処理容器内に保持された被処理 基板上に薄膜を成膜する成膜装置であって、前記処理容器はアルミニウムまたはァ ルミ-ゥム合金よりなり、当該処理容器の内壁面は、 140°C以上に加熱されると共に 、無孔質陽極酸化被膜よりなる保護膜が形成され、前記被処理基板を加熱する加熱 手段により、当該被処理基板を加熱する工程と、前記処理容器に設置された成膜ガ ス供給部より、当該処理容器内に金属アルコキシドよりなる成膜ガスを供給する工程 と、を実行する制御手段を有することを特徴とする成膜装置により、解決する。
発明の効果
[0014] 本発明によれば、金属アルコキシドよりなる成膜ガスを用いた成膜方法においいて 、処理容器内のアルミニウムまたはアルミニウム合金の溶出が抑制され、被処理基板 の汚染が抑制されて清浄な成膜が実施可能となる。
図面の簡単な説明
[0015] [図 1]実施例 1による成膜方法を実施する成膜装置の一例を模式的に示した図であ る。
[図 2]実施例 1の成膜装置に用いる制御装置を模式的に示した図である。
[図 3]実施例 1による成膜方法を示したフローチャートである。
[図 4]A1表面の処理の違いによる A1溶出量の比較をした結果を示す図(その 1)であ る。
[図 5]A1表面の処理の違いによる A1溶出量の比較をした結果を示す図(その 2)であ る。
[図 6]A1表面の処理の違いによる A1溶出量の比較をした結果を示す図(その 3)であ る。
[図 7]A1表面の処理の違いによる A1溶出量の比較をした結果を示す図(その 4)であ る。
発明を実施するための最良の形態 [0016] 次に、本発明の実施の形態に関して図面に基づき、以下に説明する。 実施例 1
[0017] 図 1は、本発明の実施例 1による成膜方法を実施する成膜装置の一例を、模式的 に示した図である。
[0018] 図 1を参照するに、本図に示す成膜装置 10は、半導体ウェハや、 LCD基板ななど の被処理基板 Wを保持する、例えばセラミックなどよりなる、略円盤状の保持台 12を その内部に有する、アルミニウムまたはアルミニウム合金よりなる処理容器 11を有し ている。前記保持台 12は、例えば前記処理容器 11の底面力 起立するように形成さ れた、アルミニウムまたはアルミニウム合金よりなる保持台支持部 13によって支持され ている。また、当該保持台支持部 13と前記処理容器 11の底面との間に挟持されるよ うに、パージガスを後述する空間 12Aに放出する、アルミニウムまたはアルミニウム合 金よりなるパージガス放出部 19が設置されている。
[0019] また、前記処理容器 11の上部には、前記保持台 12に略対向するように、当該被処 理基板 W上に形成される薄膜の原料となる成膜ガスを供給する、アルミニウムまたは アルミニウム合金よりなる、シャワーヘッド部 14が設置されている。当該シャワーヘッド 部 14と前記保持台 12の間には、前記成膜ガスが供給される、処理空間 11Aが形成 されている。
[0020] 前記シャワーヘッド部 14は、成膜ガス通路と成膜ガス通路から前記処理空間 11A に連通する、複数のガス供給孔が形成された、アルミニウムまたはアルミニウム合金よ りなるガス供給部 14Aと、当該ガス供給部 14Aが嵌合され、当該ガス供給部 14Aを 保持する、アルミニウムまたはアルミニウム合金よりなるガス供給部保持部 14Bより構 成されている。前記ガス供給部 14Aには、ガスライン 20が接続され、当該ガスライン 2 0から前記ガス供給孔を介して前記処理空間 11 Aに成膜ガスが供給される構造にな つている。
[0021] また、前記処理容器 11の底面には排気口 11Bが形成され、当該排気口 11Bには 、排気ライン 25が接続され、当該排気ライン 25には、バルブ 25Aを介して、例えば真 空ポンプなどの排気手段 26が接続されて、前記処理容器 11内を真空排気して減圧 状態とすることが可能な構成となって 、る。 [0022] また、前記処理容器 11の底面には、前記保持台 12に対向するように開口部が設 けられ、当該開口部には、例えば透過窓 16が設置されている。当該透過窓 16の、前 記処理容器 11の外側には、例えば加熱ランプなど力もなる加熱手段 17が設置され て、透過窓 16を介して前記保持台 12、または前記被処理基板 Wを加熱して、当該 被処理基板 Wを所望の温度にすることが可能な構造になっている。
[0023] また、前記保持台 12、前記保持台支持部 13、前記透過窓 16および前記パージガ ス放出部 19で囲まれた空間 12Aには、当該パージガス放出部 19より、例えば Arま たは Nなどのパージガスが導入され、成膜ガスが前記空間 12Aに侵入することを抑
2
制して、例えば前記透過窓 16上などの前記空間 12Aに面した壁面に、堆積物が堆 積することを抑制している。
[0024] また、前記シャワーヘッド部 14の、前記ガス供給部 14Aに接続されるガスライン 20 には、ノ レブ 20Aが付され、成膜ガスの供給を停止または開始の制御が可能になつ ている。また、前記ガスライン 20には、例えば液体よりなる原料を気化する気化器 20 Bが設置されている。さらに当該気化器 20Bには、前記ガスライン 20に設置された液 体質量流量コントローラ 20Cとバルブ 20Dを介して、例えば液体よりなる原料 24Aが 保持された、原料容器 24が接続されている。当該原料 24Aは、当該原料容器 24〖こ 接続される、バルブ 23Aが付されたガスライン 23から供給される、例えば Heなどの 押圧ガスによって押し出され、前記液体質量流量コントローラ 20Cによって流量を制 御されて、前記気化器 20Bに供給される。供給された原料は、気化器によって気化さ れて気体である成膜ガスとなり、前記気化器 20Bに接続された、キャリアガスライン 22 力も供給される、例えば Arなどのキャリアガスと共に、前記シャワーヘッド部 14から前 記処理空間 11 Aに供給される構造になって 、る。
[0025] 前記キャリアガスライン 22には、バルブ 22Aと質量流量コントローラ 22Bが設置され 、気化器へ供給されるキャリアガスの流量の制御や、供給の開始'停止などの制御が 可能になっている。
[0026] また、前記気ィ匕器 20Bには、例えば Arなどのパージガスを供給する、パージガスラ イン 21が接続されており、前記パージガスライン 21には、バルブ 21Aと質量流量コン トローラ 21Bが設置され、気化器へのパージガスの供給の流量の制御や、供給の開 始'停止などの制御が可能になっている。
[0027] さらに、前記ガスライン 20には、例えば Oなど、成膜ガスを酸化する酸化ガスを前
2
記処理空間 11 Aに供給する、バルブ 27Aと質量流量コントローラ 27Bが付されたガ スライン 27が接続されており、前記処理空間 11Aに酸ィ匕ガスを供給することが可能 になっている。
[0028] また、前記シャワーヘッド部 14の周囲には、略ドーナツ状の、アルミニウムまたはァ ルミ-ゥム合金よりなるクリーニングガス導入部 15が形成されており、前記処理空間 1 1Aに、例えば、 C1F , NFなどのクリーニングガスを導入して処理容器内をタリー-
3 3
ングすることが可能に構成されて 、る。
[0029] 本実施例による成膜装置 10によって成膜を行う場合、例えば液体よりなる原料を気 化させて用いるため、気化させた原料の凝縮を防止するために、シャワーヘッド部 14 や、処理容器 11は加熱して用いられることが好ましい。このため、例えば前記成膜装 置 10には、例えばヒータよりなる加熱手段 18が設置されて成膜装置を加熱し、成膜 ガスの凝縮が抑制されて 、る。
[0030] 例えば、前記加熱手段 18は、前記シャワーヘッド部 14に設置された加熱手段 18A 、前記処理容器 11に設置された加熱手段 18B,および前記ガスライン 20に設置さ れた加熱手段 18Cを有している。また必要に応じて、加熱手段をさらに付加して成膜 ガスの凝縮を抑制するように構成してもよ 、。
[0031] 本実施例による成膜装置 10では、前記原料 24Aに、金属アルコキシドを用いてい る。金属アルコキシドは、アルミニウムや、またはアルミニウム合金のアルミニウムを溶 出させる特性を有している。このため、従来の成膜装置において処理容器やシャヮ 一ヘッド部、またはガスラインなどに、アルミニウムまたはアルミニウム合金よりなる材 料を用いる場合には、被処理基板上に形成される薄膜が、これらの金属アルコキシド によって溶出されたアルミニウムまたはアルミニウム合金で汚染されてしまう懸念があ つた。また、このようなアルミニウムの溶出は、特に温度が高くなると顕著になり、液体 よりなる原料を気化して用いる本実施例の場合には、上記のように処理容器などをカロ 熱して用いることが好ましいため、特にその抑制が重要な問題となっていた。
[0032] そこで、本実施例による成膜装置 10では、例えば、処理容器 11の内壁面に、金属 アルコキシドによる、アルミニウムなどの金属成分の溶出を抑制する保護膜 pが形成 されている。前記保護膜 Pは、例えばアルミニウムまたはアルミニウム合金の無孔質 陽極酸ィ匕被膜により形成されて ヽる。
[0033] 例えば、一般的に陽極酸ィ匕被膜と呼ばれる被膜は、多孔質陽極酸ィ匕被膜であり、 多孔質であるために、減圧の環境下でガス放出量が大きい上に、金属アルコキシド によるアルミニウムの溶出などを抑制する効果が不十分であり、アルミニウムまたはァ ルミ-ゥム合金の表面に被膜を形成しても、アルミニウムの溶出を効果的に防止する ことが困難であった。一方、本実施例による無孔質陽極酸ィ匕被膜では、従来の多孔 質陽極酸ィ匕被膜に比べて空孔率が小さいため、金属アルコキシドなどを用いたこと による、処理容器内壁面からの成膜ガスによるアルミニウムの溶出を抑制する効果が 大きい特長を有している。この場合、空孔率は 5%以下であると、アルミニウムの溶出 を抑制する効果が大きくなり、好適である。
[0034] このような無孔質陽極酸ィ匕被膜は、アルミニウムまたはアルミニウム合金の表面に、 以下のようにして形成することが可能である。
[0035] まず、例えば処理容器の内壁面などの保護膜を形成する素材表面の前処理を行 い、素材表面に付着した油脂分を除去し、さらに不均質な酸化被膜を除去すること が好ましい。
[0036] 次に、当該前処理が施された素材を電解質溶液中で電解する陽極酸化処理を行う ことで、素材表面に無孔質陽極酸ィ匕被膜よりなる保護膜を形成する。この場合、電解 質溶液は、形成される保護膜を溶解しにくぐかつ無孔質陽極酸化被膜を形成する ことが可能である、例えば硼酸、硼酸塩、リン酸塩、またはアジビン酸塩などが溶解さ れた電解質溶液を用いることが好まし ヽ。
[0037] また、当該電解質溶液の電解質濃度を適正な範囲に設定することにより、被膜むら が生じにくぐまた沈殿などが生じる事無く均一な被膜が可能となる。
[0038] この場合、素材が電解質溶液に浸される場合、アルミニウムまたはアルミニウム合金 よりなる素材は、連続あるいは断続であっても陽極となるように電源に接続されて電 解される。陰極には、不溶性の導電材料が用いられる。
[0039] このようにして形成された陽極酸化被膜は空孔率が小さ!/ヽ、 Vヽゎゆる無孔質となる 力 この場合、空孔率は 5%以下であることが好ましい。このようにして形成された無 孔質陽極酸化被膜は、減圧下でのガス放出量が少なぐまた金属アルコキシドなど の成膜ガスによりアルミニウムまたはアルミニウム合金などの素材表面が溶出すること を抑制することができる。
[0040] また、この場合、例えば、 300°C程度の温度にお!ヽて、被膜が形成された素材をべ 一キングすると、被膜中の水分が低減され、好適である。
[0041] また、この場合、無孔質陽極酸化被膜の表面をフッ素化し、フッ素化層が形成され るようにすると、無孔質陽極酸ィ匕被膜の耐食性が向上し、例えば金属アルコキシドに 対する素材表面の溶出を抑制する効果が良好となり、好適である。無孔質陽極酸ィ匕 被膜の表面のフッ素化には、様々な方法を用いることが可能である力 例えば、 300 °C程度の温度下で窒素またはアルゴンガスで希釈したフッ素ガスに素材表面を曝す 方法や、フッ素プラズマに素材表面を曝す方法などにより、無孔質陽極酸化被膜の 表面にフッ素化層を形成し、金属アルコキシドに対する耐性をさらに良好とすることが できる。
[0042] このように、本実施例による成膜装置では、処理容器 11の内壁面に、無孔質陽極 酸ィ匕被膜よりなる保護膜 Pが形成されて ヽるため、金属アルコキシドよりなる成膜ガス を用いた成膜方法において、処理容器内のアルミニウムまたはアルミニウム合金の溶 出が抑制され、被処理基板の汚染が抑制されて清浄な成膜が実施可能となる。
[0043] また、このような保護膜 Pは、前記処理容器 11の内壁面に限定されず、例えば、前 記処理容器 11内であって、成膜ガスに曝される可能性がある部分に形成することが 可能であり、アルミニウムやアルミニウム合金の溶出を抑制する効果を奏する。
[0044] 例えば、前記保持台支持部 13、シャワーヘッド部 14などの、処理容器 11内におい て、例えば前記処理空間 11Aに面する部分など、成膜ガスに曝される部分に前記保 護膜 Pを形成することが好ましぐまた、前記クリーニングガス導入部 15、パージガス 放出部 19の表面にも保護膜 Pを形成することが好ましい。
[0045] また、例えばシャワーヘッド部 14の場合、前記処理容器 11内、すなわち前記処理 空間 11Aに面する部分に加えて、成膜ガスが導入される導入経路、例えば複数形 成される前記ガス供給孔の内壁面や、当該ガス供給孔と前記ガスライン 20を接続す る成膜ガス通路の内壁面にも前記保護膜 Pが形成されて ヽることが好ま U、。
[0046] また、前記ガスライン 20が、例えばアルミニウムやアルミニウム合金で形成されて!ヽ る場合、内壁面に保護膜 Pを形成すると、金属アルコキシドによるアルミニウムの溶出 が抑制されて好適である。すなわち、無孔質陽極酸化被膜は、処理容器内壁面ゃ処 理容器内の部分に限らず、気化した成膜ガスである金属アルコキシドが接触する可 能性がある部分、例えばガス導入経路などの内壁面にも形成することが可能であり、 アルミニウムの溶出を抑制する効果を奏する。
[0047] また、本実施例で用いる金属アルコキシドよりなる材料としては、例えばペンタエト キシタンタル (PET, Ta (OC H ) )を用いることが可能であり、この場合、例えば酸
2 5 5
ィ匕ガスとして Oを用いることで、被処理基板上に Ta O膜を形成することが可能であ
2 2 5
る。
[0048] また、本実施例は上記の例に限定されず、例えば金属アルコキシドよりなる成膜ガ スとして、テトラキスジメチルァミノハフニウム(Hf (O— t— C H ) )、イソプロポキシド
4 9 4
ジルコニウム (Zr(0—i— C H ) )、を用いることが可能である。また、被処理基板上
3 7 4
には、 HfO膜、 ZrO膜を形成することが可能である。
2 2
[0049] また、前記成膜装置 10の、成膜に係る動作は、記憶媒体と、コンピュータ (CPU)を 内蔵した制御装置 10Sにより、制御される。例えば、前記バルブ 20A, 20D, 21A, 22A, 23A, 27Aなどの開閉や、前記加熱手段 17、加熱手段 17による加熱温度の 保持'変更、また、前記液体質量流量コントローラ 20C、前記質量流量コントローラ 2 IB, 22B, 27Bなどの動作は、前記制御手段 10Sによって制御される。また、前記制 御手段 10Sの動作は記憶媒体に記憶されたプログラムにより行われる構造になって いる。
[0050] 図 2は、前記制御手段 10Sの構造を模式的に示した図である。図 2を参照するに、 前記制御装置 10Sは、 CPU (コンピュータ) Cと、メモリ M、例えばノヽードディスクなど の記憶媒体 H、取り外し可能な記憶媒体である記憶媒体 R、およびネットワーク接続 手段 Nを有し、さらにこれらが接続されるバス Buを有しており、当該バス Buは、例え ばバルブや加熱手段と接続される構造となっている。前記記憶媒体 Hには、成膜装 置を動作させるプログラムが記録されている力 当該プログラムは、例えば記憶媒体 R,またはネットワーク接続手段 NTを介して入力することも可能である。
[0051] 例えば、前記成膜装置 10を用いて、被処理基板上に、 Ta O膜などの薄膜の成膜
2 5
を行う場合には、前記記憶媒体 Hに記録されたプログラム (これをレシピと呼ぶ場合 がある)によって前記制御措置 10Sが成膜装置を、以下に示すように動作させる。
[0052] 例えば、前記成膜装置 10によって、 Ta O膜を形成する場合の、具体的な動作を
2 5
示すフローチャートを、図 3に示す。
[0053] 図 3を参照するに、成膜処理が開始されると、ステップ 1 (図中 S1と表記する、以下 同様)において、前記加熱手段 17によって、前記保持台 12上に保持された、前記被 処理基板 Wが加熱され、当該被処理基板 Wは、例えば、 250°C〜450°Cの温度範 囲に保持される。
[0054] 次に、ステップ 2において、前記バルブ 23A, 20D, 20Aを開放して、前記ガスライ ン 23より Heなどの押圧ガスを前記原料容器 24に供給することにより、例えばペンタ エトキシタンタルよりなる前記原料 24Aを前記気化器 20Bに供給し、当該気化器 20 Bによって気化されたペンタエトキシタンタルは、成膜ガスとなって、前記シャワーへッ ド部 14を介して前記処理空間 11 Aに供給される。この場合、前記液体質量流量コン トローラ 20Cにより、原料 24Aが前記気化器 20Bに供給される流量が制御されること で、供給される成膜ガスの流量が制御される。また、この場合、前記バルブ 22Aが開 放され、成膜ガスは、例えば Arなどのキャリアガスとともに前記処理空間 11 Aに供給 される。
[0055] また、この場合、前記バルブ 27Aが開放され、前記質量流量コントローラ 27Bで流 量が制御されながら、前記ガスライン 27から、酸ィ匕ガスである、例えば Oガスが、同
2 様に前記空間 11 Aに供給される。
[0056] ここで、加熱された被処理基板上で供給された成膜ガス、酸化ガスが反応し、被処 理基板上に、 Ta O膜が形成される。
2 5
[0057] また、この場合、前記排気ライン 25から前記処理容器 11内が排気されることで、前 記処理空間 11Aは、例えば、 40〜1332Paの圧力に保持される。また、被処理基板 の温度は、前記加熱手段 17によって加熱されることで、 250°C〜450°Cに保持され る。 [0058] 次に、所定の時間の成膜が終了すると、ステップ 3において、前記バルブ 23A, 20 D, 20A, 22A, 27Aを閉じて成膜ガスと酸ィ匕ガスの供給を停止し、処理容器内に残 留したガスを排出して成膜処理を終了する。
[0059] また、本発明による成膜方法は、上記の場合に限定されず、例えば、成膜ガスと酸 化ガスを交互に被処理基板上に供給する、すなわち、成膜ガスの供給と排出と、酸 化ガスの供給と排出と、を繰り返す、いわゆる ALD法など、他の様々な方法を用いて ちょい。
[0060] 次に、本実施例による成膜装置に用いられている無孔質陽極酸ィ匕被膜よりなる保 護膜 Pがアルミニウム表面に形成されている場合に、アルミニウムの溶出が抑制され る効果を調べた結果を図 4〜図 7に示す。
[0061] 図 4〜図 6は、アルミニウムよりなる試料を、それぞれ、 120°C、 140°C、および 160 °Cに加熱された液体ペンタエトキシタンタル中に放置した場合に、当該ペンタエトキ シタンタル (PET)中に溶出したアルミニウムの濃度の時間経過による増加を、 ICP- MS (誘導結合プラズマ質量分析装置)によって調べた結果である。この場合、実験 は、アルミニウム表面を有機機械化学研磨 (OMCP処理)した場合と、アルミニウム表 面を OMCP処理し、さらに無孔質陽極酸ィ匕被膜よりなる保護膜を形成したもので比 較している。
[0062] 図 4〜図 6を参照するに、まず時間 0におけるデータは、アルミニウム試料を PET液 中に入れる前の PET液の分析結果である。また、 A1溶出量が、 5ppb以下のものに おいては、測定に用いた ICP— MSの定量下限を下回るため、見かけ上、 OMCP処 理のみ施したもののデータがよくなつて 、るものもある。
[0063] また、図 4を参照するに、 A1溶出量は、 OMCP処理のみ施したもの、 OMCP処理 に加えて無孔質陽極酸ィ匕被膜を施したもの、の 、ずれの場合にぉ 、てもせ 、ぜ 、5 ppbであり、好ましい範囲である。
[0064] 一方、図 5、図 6を参照するに、 OMCP処理のみ施したものに関しては、温度が 14 0°Cを超えると A1溶出量は 50時間放置したデータにおいて lOppbを超え、 160°Cに 至っては lOOOppbを超えるほどのものになってしまい、好ましくない。
[0065] この場合、 OMCP処理にカ卩ぇ無孔質陽極酸ィ匕被膜を施したものにおいては、 160 。C、 50時間放置したものであっても Al溶出量は lOppbであり、 OMCP処理のみのも のに対し lZioo以下に抑制されているのがわ力る。
[0066] さらに、図 4〜図 6を参照するに、 A1溶出量は、高温になるほど増加するのが認めら れる。
[0067] このように、成膜ガスとして用いられる金属アルコキシド、例えばペンタエトキシタン タルに対して、無孔質陽極酸化被膜は、その下地となるアルミニウムまたはアルミ-ゥ ム合金を保護する特長を有しており、アルミニウムが成膜ガス中に溶出することを抑 制する効果を奏することが確認された。このため、本実施例による成膜方法によれば 、処理容器内のアルミニウムまたはアルミニウム合金の溶出が抑制され、被処理基板 の汚染が抑制されて清浄な成膜が実施可能となることがわ力る。
[0068] さらに具体的には、図 1に示す成膜装置 10において、保護膜 Pが形成されている 表面の温度が 140°C以上の場合においては、 A1溶出量が抑制され、清浄な成膜が 可能となることがわかる。
[0069] また、図 7は、アルミニウム合金を、希塩酸に接触させた場合に、希塩酸中に溶出し たアルミニウムの量を調べた結果である。また、実験は、系列 1として、 JIS規格の A5 052に該当するアルミニウム合金を用いてその表面処理を変更した場合について比 較し、系列 2として、 JIS規格の A1050に該当するアルミニウム合金を用いてその表 面処理を変更した場合の比較を、それぞれ行っている。
[0070] この場合、系列 1については、表面処理なし、表面を OMCP処理したもの、および 表面を OMCP処理したものに保護膜、すなわち無孔質陽極酸化被膜を形成したも のの 3種類について比較し、系列 2については、表面を OMCP処理したもの、および 表面を OMCP処理したものに無孔質陽極酸ィ匕被膜よりなる保護膜を形成したものの 2種類にっ ヽて比較して 、る。
[0071] 図 5を参照するに、系列 1の場合についてみると、表面処理をしない場合に比べて 表面を OMCP処理した場合には、アルミニウムの溶出量が抑制されている力 さらに 保護膜を形成した場合には、アルミニウムの溶出がより抑制されていることがわかる。 また、系列 2の場合についても同様に、表面を OMCP処理した場合にくらべて、さら に保護膜を形成した場合には、アルミニウムの溶出が抑制されていることがわかる。 [0072] このように、無孔質陽極酸ィ匕被膜は、例えば塩酸による腐食に対しても耐性を有し ていることがわかる。このことから、無孔質陽極酸ィ匕被膜によりアルミニウムまたはアル ミニゥム合金が保護された構造を有する図 1に示した成膜装置 10では、例えば塩素 などのハロゲン元素に対するエッチングに対しても耐性を有し、ハロゲンによってァ ルミ-ゥムまたはアルミニウムゥ合金がエッチングされることを抑制し、例えばノヽロゲン 元素を含むクリーニングガスを用いたクリーニングにおいて、アルミニウムの溶出を抑 制し、被処理基板の汚染を抑制する効果を奏すると考えられる。
[0073] 以上、本発明を好ましい実施例について説明したが、本発明は上記の特定の実施 例に限定されるものではなく、特許請求の範囲に記載した要旨内にお 、て様々な変 形 ·変更が可能である。
産業上の利用可能性
[0074] 本発明によれば、金属アルコキシドよりなる成膜ガスを用いた成膜方法にお!、 、て 、処理容器内のアルミニウムまたはアルミニウム合金の溶出が抑制され、被処理基板 の汚染が抑制されて清浄な成膜が実施可能となる。
本国際出願は、 2004年 10月 28日に出願した日本国特許出願 2004— 313936 号に基づく優先権を主張するものであり、 2004— 313936号の全内容を本国際出 願に援用する。

Claims

請求の範囲
[1] 処理容器内に保持された被処理基板上に薄膜を成膜する成膜方法であって、 前記被処理基板を加熱する工程と、
前記処理容器内に成膜ガスを供給する工程と、を有し、
前記成膜ガスは、金属アルコキシドよりなり、前記処理容器はアルミニウムまたはァ ルミ-ゥム合金よりなり、当該処理容器の内壁面には無孔質陽極酸化被膜よりなる保 護膜が形成されて ヽることを特徴とする成膜方法。
[2] 前記保護膜の空孔率は、 5%以下であることを特徴とする請求項 1記載の成膜方法
[3] 前記保護膜は、フッ素化されたフッ素化層を含むことを特徴とする請求項 1記載の 成膜方法。
[4] 前記処理容器には、前記成膜ガスを当該処理容器内に供給する、アルミニウムま たはアルミニウム合金よりなるシャワーヘッド部が設けられ、当該シャワーヘッド部に は前記保護膜が形成されていることを特徴とする請求項 1記載の成膜方法。
[5] 前記処理容器内には前記被処理基板を保持する保持台と、当該保持台を支持す る、アルミニウムまたはアルミニウム合金よりなる保持台支持部が設けられ、当該保持 台支持部には前記保護膜が形成されていることを特徴とする請求項 1記載の成膜方 法。
[6] 前記処理容器内には、前記処理容器内をクリーニングするクリーニングガスを導入 する、アルミニウムまたはアルミニウム合金よりなる、ガス導入部が設けられ、当該ガス 導入部には前記保護膜が形成されていることを特徴とする請求項 1記載の成膜方法
[7] 前記被処理基板の加熱は、前記保持台の、前記被処理基板が保持される側と対 向する側に設けられた加熱ランプにより、行われることを特徴とする請求項 1記載の 成膜方法。
[8] 前記金属アルコキシドはペンタエトキシタンタルよりなることを特徴とする請求項 1記 載の成膜方法。
[9] 前記成膜ガスと共に前記処理容器内には Oが導入されることを特徴とする、請求 項 1記載の成膜方法。
[10] 前記薄膜は、 Ta O膜であることを特徴とする請求項 1記載の成膜方法。
2 5
[11] 処理容器内に保持された被処理基板上に薄膜を成膜する、成膜装置による成膜 方法をコンピュータに動作させるプログラムであって、
前記処理容器はアルミニウムまたはアルミニウム合金よりなり、当該処理容器の内 壁面には無孔質陽極酸ィ匕被膜よりなる保護膜が形成されており、
前記被処理基板を加熱する工程と、
前記処理容器内に金属アルコキシドよりなる成膜ガスを供給する工程と、を有する ことを特徴とするプログラム。
[12] 前記金属アルコキシドはペンタエトキシタンタルよりなることを特徴とする請求項 11 記載のプログラム。
[13] 前記成膜ガスと共に前記処理容器内には Oが導入されることを特徴とする、請求
2
項 11記載のプログラム。
[14] 前記薄膜は、 Ta O膜であることを特徴とする請求項 11記載のプログラム。
2 5
[15] 請求項 11記載のプログラムを記憶した記録媒体。
[16] 被処理基板上に薄膜を成膜する成膜装置であって、
前記被処理基板を保持する保持台を内部に備えた、アルミニウムまたはアルミ-ゥ ム合金よりなる処理容器と、
前記処理容器に金属アルコキシドよりなる成膜ガスを供給する成膜ガス供給部と、 前記処理容器に設置された加熱手段と、を有し、
前記処理容器の内壁面は、前記加熱手段により 140°C以上に加熱されると共に、 無孔質陽極酸ィ匕被膜よりなる保護膜が形成されていることを特徴とする成膜装置。
[17] 前記金属アルコキシドはペンタエトキシタンタルよりなることを特徴とする請求項 16 記載の成膜装置。
[18] 前記保護膜は、フッ素化されたフッ素化層を含むことを特徴とする請求項 16記載の 成膜装置。
[19] 前記成膜ガス供給部は、アルミニウムまたはアルミニウム合金よりなるシャワーヘッド 部よりなり、当該シャワーヘッド部には前記保護膜が形成されていることを特徴とする 請求項 16記載の成膜装置。
[20] 前記処理容器内には前記保持台を支持する、アルミニウムまたはアルミニウム合金 よりなる保持台支持部が設けられ、当該保持台支持部には前記保護膜が形成されて いることを特徴とする請求項 16記載の成膜装置。
[21] 前記処理容器内には、前記処理容器内をクリーニングするクリーニングガスを導入 する、アルミニウムまたはアルミニウム合金よりなる、ガス導入部が設けられ、当該ガス 導入部には前記保護膜が形成されていることを特徴とする請求項 16記載の成膜装 置。
[22] 処理容器内に保持された被処理基板上に薄膜を成膜する成膜装置であって、 前記処理容器はアルミニウムまたはアルミニウム合金よりなり、当該処理容器の内 壁面は、 140°C以上に加熱されると共に、無孔質陽極酸ィ匕被膜よりなる保護膜が形 成され、
前記被処理基板を加熱する加熱手段により、当該被処理基板を加熱する工程と、 前記処理容器に設置された成膜ガス供給部より、当該処理容器内に金属アルコキ シドよりなる成膜ガスを供給する工程と、を実行する制御手段を有することを特徴とす る成膜装置。
PCT/JP2005/019547 2004-10-28 2005-10-25 成膜装置、成膜方法、プログラムおよび記録媒体 WO2006046531A1 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/741,939 US7713886B2 (en) 2004-10-28 2007-04-30 Film forming apparatus, film forming method, program and storage medium

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004313936A JP2006128370A (ja) 2004-10-28 2004-10-28 成膜装置、成膜方法、プログラムおよび記録媒体
JP2004-313936 2004-10-28

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/741,939 Continuation US7713886B2 (en) 2004-10-28 2007-04-30 Film forming apparatus, film forming method, program and storage medium

Publications (1)

Publication Number Publication Date
WO2006046531A1 true WO2006046531A1 (ja) 2006-05-04

Family

ID=36227771

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2005/019547 WO2006046531A1 (ja) 2004-10-28 2005-10-25 成膜装置、成膜方法、プログラムおよび記録媒体

Country Status (5)

Country Link
US (1) US7713886B2 (ja)
JP (1) JP2006128370A (ja)
KR (1) KR100926379B1 (ja)
CN (1) CN100499039C (ja)
WO (1) WO2006046531A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100330390A1 (en) * 2006-12-28 2010-12-30 National University Corporation Tohoku University Structural member to be used in apparatus for manufacturing semiconductor or flat display, and method for producing the same
CN110872702A (zh) * 2018-08-31 2020-03-10 东京毅力科创株式会社 成膜装置和成膜方法

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5346699B2 (ja) 2009-06-11 2013-11-20 東京エレクトロン株式会社 Ge−Sb−Te膜の成膜方法および記憶媒体、ならびにPRAMの製造方法
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
JP2013021130A (ja) * 2011-07-11 2013-01-31 Tohoku Univ 製造プロセス用の処理槽及びその製造法
WO2013011637A1 (ja) * 2011-07-21 2013-01-24 国立大学法人東北大学 ガス排気用ポンプのステータ及びその製造方法、並びにそのステータを備えるポンプ及びその製造方法及び組立方法
US9431221B2 (en) * 2014-07-08 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma-processing apparatus with upper electrode plate and method for performing plasma treatment process
KR102244073B1 (ko) * 2014-09-16 2021-04-26 삼성디스플레이 주식회사 표시 장치의 제조 장치 및 이를 이용한 표시 장치의 제조 방법
JP6156850B2 (ja) * 2014-12-25 2017-07-05 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の部材の交換判断方法
US10668511B2 (en) * 2018-03-20 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of cleaning process chamber
CN112236839A (zh) * 2018-06-14 2021-01-15 应用材料公司 具保护性涂层的处理腔室的处理配件
JP2020041206A (ja) 2018-09-13 2020-03-19 キオクシア株式会社 基板処理装置および半導体装置の製造方法
JP7379993B2 (ja) * 2019-09-20 2023-11-15 東京エレクトロン株式会社 エッチング装置及びエッチング方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04263093A (ja) * 1990-06-07 1992-09-18 Applied Materials Inc アルミニウム支持体上の耐蝕性保護被膜とその製造方法
JPH05175147A (ja) * 1991-12-24 1993-07-13 Tokyo Electron Ltd 真空装置
JPH06283449A (ja) * 1993-03-24 1994-10-07 Tokyo Electron Ltd 真空排気方法及び真空装置
JP2004124193A (ja) * 2002-10-03 2004-04-22 Tokyo Electron Ltd 処理装置

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0460700B1 (en) 1990-06-07 1997-04-16 Applied Materials, Inc. Corrosion-resistant protective coating on aluminum substrate or surface and method of forming same
US5069938A (en) * 1990-06-07 1991-12-03 Applied Materials, Inc. Method of forming a corrosion-resistant protective coating on aluminum substrate
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5648113A (en) * 1994-09-30 1997-07-15 International Business Machines Corporation Aluminum oxide LPCVD system
US6699530B2 (en) * 1995-07-06 2004-03-02 Applied Materials, Inc. Method for constructing a film on a semiconductor wafer
WO1996015295A1 (en) * 1994-11-16 1996-05-23 Kabushiki Kaisha Kobe Seiko Sho Vacuum chamber made of aluminum or its alloy, and surface treatment and material for the vacuum chamber
JP3506827B2 (ja) * 1995-12-28 2004-03-15 三菱アルミニウム株式会社 表面処理アルミニウム材及びその製造方法
JPH09302499A (ja) * 1996-05-09 1997-11-25 Mitsubishi Alum Co Ltd アルミニウム材
US6461982B2 (en) * 1997-02-27 2002-10-08 Micron Technology, Inc. Methods for forming a dielectric film
US6271129B1 (en) * 1997-12-03 2001-08-07 Applied Materials, Inc. Method for forming a gap filling refractory metal layer having reduced stress
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6106634A (en) * 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
JP4684408B2 (ja) 2000-01-14 2011-05-18 東京エレクトロン株式会社 処理装置
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
EP1160900A3 (en) * 2000-05-26 2007-12-12 Kabushiki Kaisha Riken Embossed current collector separator for electrochemical fuel cell
US6811893B2 (en) * 2000-07-31 2004-11-02 Mitsubishi Plastics, Inc. Aluminum plate with thermoplastic resin coating and formed article comprising the same
JP4669605B2 (ja) * 2000-11-20 2011-04-13 東京エレクトロン株式会社 半導体製造装置のクリーニング方法
JP4720029B2 (ja) 2001-06-19 2011-07-13 東京エレクトロン株式会社 枚葉式の熱処理装置
US6581649B2 (en) * 2001-07-13 2003-06-24 L'air Liquide - Societe Anonyme A'directiore Et Conseil De Surveillance Pour L'etude Et L'exploitation Des Procedes Georges Claude Methods and apparatus for delivering high purity liquids with low vapor pressure
JP3982402B2 (ja) * 2002-02-28 2007-09-26 東京エレクトロン株式会社 処理装置及び処理方法
KR100474565B1 (ko) * 2002-08-30 2005-03-10 삼성전자주식회사 소스 가스 공급 방법 및 장치
JP2004225113A (ja) * 2003-01-23 2004-08-12 Kobe Steel Ltd 耐腐食性及び耐プラズマ性に優れたAl合金部材
US7608300B2 (en) * 2003-08-27 2009-10-27 Applied Materials, Inc. Methods and devices to reduce defects in dielectric stack structures

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04263093A (ja) * 1990-06-07 1992-09-18 Applied Materials Inc アルミニウム支持体上の耐蝕性保護被膜とその製造方法
JPH05175147A (ja) * 1991-12-24 1993-07-13 Tokyo Electron Ltd 真空装置
JPH06283449A (ja) * 1993-03-24 1994-10-07 Tokyo Electron Ltd 真空排気方法及び真空装置
JP2004124193A (ja) * 2002-10-03 2004-04-22 Tokyo Electron Ltd 処理装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100330390A1 (en) * 2006-12-28 2010-12-30 National University Corporation Tohoku University Structural member to be used in apparatus for manufacturing semiconductor or flat display, and method for producing the same
US8642187B2 (en) * 2006-12-28 2014-02-04 National University Corporation Tohoku University Structural member to be used in apparatus for manufacturing semiconductor or flat display, and method for producing the same
CN110872702A (zh) * 2018-08-31 2020-03-10 东京毅力科创株式会社 成膜装置和成膜方法
CN110872702B (zh) * 2018-08-31 2022-04-12 东京毅力科创株式会社 成膜装置和成膜方法

Also Published As

Publication number Publication date
US7713886B2 (en) 2010-05-11
US20070204147A1 (en) 2007-08-30
JP2006128370A (ja) 2006-05-18
CN101006567A (zh) 2007-07-25
CN100499039C (zh) 2009-06-10
KR20070058672A (ko) 2007-06-08
KR100926379B1 (ko) 2009-11-11

Similar Documents

Publication Publication Date Title
WO2006046531A1 (ja) 成膜装置、成膜方法、プログラムおよび記録媒体
JP6022638B2 (ja) 半導体装置の製造方法、基板処理装置及びプログラム
JP5036849B2 (ja) 半導体装置の製造方法、クリーニング方法および基板処理装置
US20150221529A1 (en) Gas supply method and thermal treatment method
JP5787488B2 (ja) 半導体装置の製造方法及び基板処理装置
US20130200491A1 (en) Method of manufacturing capacitor, capacitor and method of forming dielectric film for use in capacitor
JP5963456B2 (ja) 半導体装置の製造方法、基板処理装置、及び基板処理方法
TWI403607B (zh) The Ti-based film deposition method and storage medium
JP2011066263A (ja) 半導体装置の製造方法および基板処理装置
JP5678252B2 (ja) Sr−Ti−O系膜の成膜方法
US10513778B2 (en) Native or uncontrolled oxide reduction by HWCVD H* using specific metal chamber liner
US10199451B2 (en) Lower electrode of DRAM capacitor and manufacturing method thereof
JP2010065309A (ja) Ti系膜の成膜方法および記憶媒体
JP5751754B2 (ja) 成膜方法および記憶媒体
JP7033622B2 (ja) 気化装置、基板処理装置、クリーニング方法および半導体装置の製造方法
JP5095230B2 (ja) SrTiO3膜の成膜方法およびコンピュータ読取可能な記憶媒体
JP6823710B2 (ja) 半導体装置の製造方法、クリーニング方法、基板処理装置およびプログラム
US20050139234A1 (en) Method of cleaning substrate processing apparatus and computer-readable recording medium
JP6123021B2 (ja) 半導体装置の製造方法、基板処理装置及びプログラム
JP2009094340A (ja) 基板処理装置のメタル汚染低減方法
JP2008211106A (ja) 半導体装置の製造方法、及び基板処理装置

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BW BY BZ CA CH CN CO CR CU CZ DK DM DZ EC EE EG ES FI GB GD GE GM HR HU ID IL IN IS KE KG KM KP KZ LC LK LR LS LT LU LV LY MA MG MK MN MW MX MZ NA NG NI NZ OM PG PH PL PT RO RU SC SD SE SK SL SM SY TJ TM TN TR TT TZ UA US UZ VC VN YU ZA ZM

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SZ TZ UG ZM ZW AM AZ BY KG MD RU TJ TM AT BE BG CH CY DE DK EE ES FI FR GB GR HU IE IS IT LU LV MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 200580027609.7

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 1020077009622

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 11741939

Country of ref document: US

NENP Non-entry into the national phase

Ref country code: DE

WWP Wipo information: published in national office

Ref document number: 11741939

Country of ref document: US

122 Ep: pct application non-entry in european phase

Ref document number: 05805255

Country of ref document: EP

Kind code of ref document: A1