WO2004030011A2 - Procede et appareil destines a une plaque d'une electrode superieure amelioree dans un systeme de traitement au plasma - Google Patents

Procede et appareil destines a une plaque d'une electrode superieure amelioree dans un systeme de traitement au plasma Download PDF

Info

Publication number
WO2004030011A2
WO2004030011A2 PCT/IB2003/004666 IB0304666W WO2004030011A2 WO 2004030011 A2 WO2004030011 A2 WO 2004030011A2 IB 0304666 W IB0304666 W IB 0304666W WO 2004030011 A2 WO2004030011 A2 WO 2004030011A2
Authority
WO
WIPO (PCT)
Prior art keywords
recited
electrode plate
exposed surfaces
protective barrier
electrode
Prior art date
Application number
PCT/IB2003/004666
Other languages
English (en)
Other versions
WO2004030011A3 (fr
Inventor
Hidehito Saigusa
Taira Takase
Kouji Mitsuhashi
Hiroyuki Nakayama
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to JP2004539373A priority Critical patent/JP2006501645A/ja
Priority to AU2003269393A priority patent/AU2003269393A1/en
Publication of WO2004030011A2 publication Critical patent/WO2004030011A2/fr
Publication of WO2004030011A3 publication Critical patent/WO2004030011A3/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material

Definitions

  • the present invention relates to an improved component for a plasma processing system and more particularly to an upper electrode employed in a plasma processing system to introduce a processing gas.
  • IC integrated circuits
  • plasma is formed within the plasma reactor under vacuum conditions by heating electrons to energies sufficient to sustain ionizing collisions with a supplied process gas.
  • the heated electrons can have energy sufficient to sustain dissociative collisions and, therefore, a specific set of gases under predetermined conditions (e.g., chamber pressure, gas flow rate, etc.) are chosen to produce a population of charged species and chemically reactive species suitable to the particular process being performed within the chamber (e.g., etching processes where materials are removed from the substrate or deposition processes where materials are added to the substrate).
  • components of the plasma processing system are coated with a protective barrier.
  • a protective barrier For example, components fabricated from aluminum can be anodized to produce a surface layer of aluminum oxide, which is more resistant to the plasma.
  • a consumable or replaceable component such as one fabricated from silicon, quartz, alumina, carbon, or silicon carbide, can be inserted within the processing chamber to protect the surfaces of more valuable components that would impose greater costs during frequent replacement.
  • the present invention provides an improved upper electrode for a plasma processing system, wherein the design and fabrication of the upper electrode advantageously addresses the above-identified shortcomings.
  • the electrode plate further comprises one or more gas injection orifices, wherein each gas injection orifice comprises an entrant region for receiving a processing gas and an exit region for coupling the processing gas to the plasma processing system, the exit region comprising an injection surface.
  • the electrode plate further includes a plurality of fastening receptors for receiving fastening devices in order to attach the electrode plate to the upper assembly.
  • the electrode plate further includes a plenum cavity coupled to the first surface, configured to receive the processing gas, and configured to distribute the processing gas to the one or more gas injection orifices.
  • the electrode plate further includes a first sealing feature coupled to the first surface of the electrode plate and configured to seal the electrode plate with the upper assembly.
  • the electrode plate can further comprise a diagnostics port, and a second sealing feature coupled to the first surface of the electrode plate and configured to seal the diagnostics port with the upper assembly.
  • the diagnostics port can include an entrant cavity and an exit through-hole comprising an interior surface.
  • the electrode plate further comprises a protective barrier formed on a plurality of exposed surfaces of the electrode plate facing the processing plasma.
  • the plurality of exposed surfaces of the electrode plate can comprise the plasma surface of the second surface of the electrode plate. Additionally, the exposed surfaces can further comprise the injection surface of the exit region in the one or more gas injection orifices, and the interior surface of the exit through-hole in the diagnostics port.
  • the present invention provides a method of producing the electrode plate in the plasma processing system comprising the steps: fabricating the electrode plate; anodizing the electrode plate to form a surface anodization layer on the electrode plate; machining the exposed surfaces on the electrode plate to remove the surface anodization layer; and forming a protective barrier on the exposed surfaces.
  • the present invention may also optionally include machining the first surface of the electrode plate excluding the plenum cavity, the first sealing feature, and the second sealing feature.
  • the present invention provides another method of producing the electrode plate in the plasma processing system comprising the steps: fabricating the electrode plate; masking the exposed surfaces on the electrode plate to prevent formation of a surface anodization layer; anodizing the electrode plate to form the surface anodization layer on the electrode plate; unmasking the exposed surfaces; and forming a protective barrier on the exposed surfaces.
  • the present invention may also optionally include masking other non-exposed surfaces (e.g., the first surface of the electrode plate excluding the plenum cavity, the first sealing feature, and the second sealing feature).
  • the present invention provides another method of producing the electrode plate for the upper electrode in the plasma processing system comprising the steps: fabricating the electrode plate; and forming a protective barrier on the exposed surfaces.
  • the present invention may also include a process of combining machining and masking to prepare the exposed surfaces to receive the protective barrier, and then forming the protective barrier on the exposed surfaces. For example, two of the exposed surfaces can be masked prior to anodizing, and two of the surfaces can be machined after anodizing to create four exposed surfaces on which the protective barrier can be formed.
  • FIG. 1 shows a simplified block diagram of a plasma processing system comprising an upper electrode including an electrode plate according to an embodiment of the present invention
  • FIG. 2 shows a plan view of an electrode plate for a plasma processing system according to an embodiment of the present invention
  • FIG. 3 shows a cross-sectional view of an electrode plate for the plasma processing system according to an embodiment of the present invention
  • FIG. 4 shows an exploded view of a mating surface and a plasma surface of an electrode plate for the plasma processing system according to an embodiment of the present invention
  • FIG. 5 shows an exploded view of a gas injection orifice in an electrode plate for the plasma processing system according to an embodiment of the present invention
  • FIG. 6 shows an exploded view of an exit through-hole of a diagnostics port in an electrode plate for the plasma processing system according to an embodiment of the present invention
  • FIG. 7 presents a method of producing an electrode plate for the plasma processing system according to an embodiment of the present invention
  • FIG. 8 presents a method of producing an electrode plate for the plasma processing system according to another embodiment of the present invention.
  • FIG. 9 presents a method of producing an electrode plate for the plasma processing system according to another embodiment of the present invention. Detailed Description of an Embodiment
  • a plasma processing system 1 is depicted in FIG. 1 comprising a plasma processing chamber 10, an upper assembly 20, an electrode plate 24, a substrate holder 30 for supporting a substrate 35, and a pumping duct 40 coupled to a vacuum pump (not shown) for providing a reduced pressure atmosphere 11 in plasma processing chamber 10.
  • Plasma processing chamber 10 can facilitate the formation of a processing plasma in a process space 12 adjacent substrate 35.
  • the plasma processing system 1 can be configured to process various substrates (i.e. 200 mm substrates, 300 mm substrates, or larger).
  • upper assembly 20 can comprise at least one of a cover, a gas injection assembly, and an upper electrode impedance match network.
  • the electrode plate 24 can be coupled to an RF source, and facilitate an upper electrode for the plasma processing system 1.
  • the upper assembly 20 comprises a cover and an electrode plate 24, wherein the electrode plate 24 is maintained at an electrical potential equivalent to that of the plasma processing chamber 10.
  • the plasma processing chamber 10, the upper assembly 20, and the electrode plate 24 can be electrically connected to ground potential, and facilitate an upper electrode for the plasma processing system 1.
  • Plasma processing chamber 10 can, for example, further comprise a deposition shield 14 for protecting the plasma processing chamber 10 from the processing plasma in the process space 12, and an optical viewport 16.
  • Optical viewport 16 can comprise an optical window 17 coupled to the backside of an optical window deposition shield 18, and an optical window flange 19 can be configured to couple optical window 17 to the optical window deposition shield 18. Sealing members, such as O-rings, can be provided between the optical window flange 19 and the optical window 17, between the optical window 17 and the optical window deposition shield 18, and between the optical window deposition shield 18 and the plasma processing chamber 10.
  • Optical window deposition shield 18 can extend through an opening 70 within deposition shield 14.
  • Optical viewport 16 can, for example, permit monitoring of optical emission from the processing plasma in process space 12.
  • Substrate holder 30 can, for example, further comprise a vertical translational device 50 surrounded by a bellows 52 coupled to the substrate holder 30 and the plasma processing chamber 10, and configured to seal the vertical translational device 50 from the reduced pressure atmosphere 11 in plasma processing chamber 10.
  • a bellows shield 54 can, for example, be coupled to the substrate holder 30 and configured to protect the bellows 52 from the processing plasma.
  • Substrate holder 10 can, for example, further be coupled to at least one of a focus ring 60, and a shield ring 62.
  • a baffle plate 64 can extend about a periphery of the substrate holder 30.
  • Substrate 35 can be, for example, transferred into and out of plasma processing chamber 10 through a slot valve (not shown) and chamber feed- through (not shown) via robotic substrate transfer system where it is received by substrate lift pins (not shown) housed within substrate holder 30 and mechanically translated by devices housed therein. Once substrate 35 is received from substrate transfer system, it is lowered to an upper surface of substrate holder 30. [0035] Substrate 35 can be, for example, affixed to the substrate holder 30 via an electrostatic clamping system.
  • substrate holder 30 can, for example, further include a cooling system including a re-circulating coolant flow that receives heat from substrate holder 30 and transfers heat to a heat exchanger system (not shown), or when heating, transfers heat from the heat exchanger system.
  • gas can, for example, be delivered to the back-side of substrate 35 via a backside gas system to improve the gas-gap thermal conductance between substrate 35 and substrate holder 30.
  • a cooling system including a re-circulating coolant flow that receives heat from substrate holder 30 and transfers heat to a heat exchanger system (not shown), or when heating, transfers heat from the heat exchanger system.
  • gas can, for example, be delivered to the back-side of substrate 35 via a backside gas system to improve the gas-gap thermal conductance between substrate 35 and substrate holder 30.
  • Such a system can be utilized when temperature control of the substrate is required at elevated or reduced temperatures.
  • heating elements such as resistive heating elements, or thermo-electric heaters/coolers can be included.
  • substrate holder 30 can comprise an electrode through which RF power is coupled to the processing plasma in process space 12.
  • substrate holder 30 can be electrically biased at a RF voltage via the transmission of RF power from a RF generator (not shown) through an impedance match network (not shown) to substrate holder 30.
  • the RF bias can serve to heat electrons to form and maintain plasma.
  • the system can operate as a reactive ion etch (RIE) reactor, wherein the chamber and upper gas injection electrode serve as ground surfaces.
  • RIE reactive ion etch
  • a typical frequency for the RF bias can range from 1 MHz to 100 MHz and is preferably 13.56 MHz.
  • RF systems for plasma processing are well known to those skilled in the art.
  • the processing plasma formed in process space 12 can be formed using a parallel-plate, capacitively coupled plasma (CCP) source, an inductively coupled plasma (ICP) source, any combination thereof, and with and without DC magnet systems.
  • the processing plasma in process space 12 can be formed using electron cyclotron resonance (ECR).
  • ECR electron cyclotron resonance
  • the processing plasma in process space 12 is formed from the launching of a Helicon wave.
  • the processing plasma in process space 12 is formed from a propagating surface wave.
  • electrode plate 24 comprises a first surface 82 having a coupling surface 82a for coupling the electrode plate 24 to the upper assembly 20, a second surface 88 comprising a plasma surface 90 configured to face the processing plasma in the plasma processing chamber 10 and a mating surface 92 for mating the electrode plate 80 with the plasma processing chamber 10, and a peripheral edge 94.
  • FIG. 4 provides an expanded view of the mating surface 92 and the plasma surface 90 in proximity to the peripheral edge 94 of electrode plate 24.
  • the electrode plate 24 further includes one or more gas injection orifices 100 coupled to the plenum surface 82b and the second surface 88, wherein each gas injection orifice 100 comprises an entrant region 102 for receiving a processing gas and an exit region 104 for coupling the processing gas to the plasma processing chamber 10, the exit region 104 comprising an injection surface 106 contiguous with the plasma surface 90.
  • the processing gas can, for example, comprise a mixture of gases such as argon, CF and 0 2 , or argon, C 4 F 8 and 0 2 for oxide etch applications, or other chemistries such as, for example, 0 2 /CO/Ar/C 4 F 8 , 0 2 /Ar/C 4 F 8 , 0 2 /CO/AR/C 5 F 8 , 0 2 /CO/Ar/C 4 F 6 , 0 2 /Ar/C 4 F 6 , N 2 /H 2 , N 2 /0 2 .
  • gases such as argon, CF and 0 2 , or argon, C 4 F 8 and 0 2 for oxide etch applications, or other chemistries such as, for example, 0 2 /CO/Ar/C 4 F 8 , 0 2 /Ar/C 4 F 8 , 0 2 /CO/AR/C 5 F 8 , 0 2 /CO/Ar/C
  • the number of gas injection orifices 100 formed within electrode plate 24 can range from 1 to 10000. Desirably, the number of gas injection orifices 100 ranges from 50 to 500; and, preferably, the number of gas injection orifices 100 is at least 100. Furthermore, for example, a diameter of the gas injection orifice can range from 0.1 to 20 mm. Desirably, the diameter ranges from 0.5 to 5 mm, and preferably ranges from 0.5 to 2 mm. In addition, for example, a length of a gas injection orifice can range from 1 to 20 mm. Desirably, the length ranges from 2 to 15 mm, and preferably ranges from 3 to 12 mm. [0042] Additionally, as shown in FIG.
  • electrode plate 24 comprises a plenum cavity 84 having a plenum surface 82b that is part of the first surface 82, configured to receive the processing gas, and configured to distribute the processing gas to the plurality of gas injection orifices 100.
  • electrode plate 24 can comprise a first sealing feature 86 coupled to the coupling surface 82a of the electrode plate 24 and configured to seal the electrode plate 24 with the upper assembly 20.
  • the first sealing feature can, for example, comprise a dovetail cross-section or rectangular cross-section configured for receiving an O-ring.
  • an electrical coupling feature (not shown) can be integrated with the coupling surface 82a of the electrode plate 24 in order to provide improved electrical coupling between the electrode plate 24 and the upper electrode 20.
  • the electrical coupling feature can, for example, comprise Spirashield (commercially available from Spira Manufacturing Company), known to those skilled in the art of vacuum processing.
  • the electrode plate 24 can further include a plurality of fastening receptors 110 for receiving fastening devices (such as bolts) (not shown) in order to attach the electrode plate 24 to the upper assembly 20.
  • the number of fastening receptors 110 formed within electrode plate 24 can range from 1 to 100. Desirably, the number of fastening receptors 110 can range from 5 to 20; and, preferably, the number of fastening receptors 110 is at least 8.
  • the electrode plate 24 can further comprise a diagnostics port 120, and a second sealing feature 122 coupled to the coupling surface 82a of the electrode plate 24 and configured to seal the diagnostics port 120 with the upper assembly 20.
  • the diagnostics port 120 can include an entrant cavity 124 and an exit through-hole 126 comprising an interior surface 128 contiguous with the plasma surface 90.
  • the second sealing feature can, for example, comprise a dovetail cross-section or rectangular cross-section configured for receiving an O-ring.
  • the diagnostics port 120 can be used to couple a diagnostics system (not shown) with the reduced pressure atmosphere 11 of plasma processing chamber 10.
  • the diagnostics system can comprise a pressure manometer.
  • electrode plate 24 can, for example, comprise one or more alignment features 130 in order to provide for proper coupling of the electrode plate 24 to the upper assembly 20.
  • the one or more alignment features 130 can, for example, comprise two slots as shown in FIG. 2.
  • a plurality of exposed surfaces 140 can comprise the plasma surface 90 of the second surface 88 of the electrode plate 24, the injection surface 106 of the one or more gas injection orifices 100, and the interior surface 128 of the diagnostics port 120. Alternately, the exposed surfaces comprise all surfaces on the electrode plate 24.
  • the electrode plate 24 further comprises a protective barrier 150 formed on the exposed surfaces 140 of the electrode plate 24.
  • the protective barrier 150 can comprise a compound including an oxide of aluminum such as Al 2 0 3 .
  • the protective barrier 150 comprises a mixture of Al 2 0 3 and Y 2 0 3 .
  • the protective barrier 150 comprises at least one of a Ill-column element (column III of periodic table) and a Lanthanon element.
  • the Ill-column element comprises at least one of Yttrium, Scandium, and Lanthanum.
  • the Lanthanon element comprises at least one of Cerium, Dysprosium, and Europium.
  • the compound forming protective barrier 150 comprises at least one of Yttria (Y 2 0 3 ), Sc 2 0 3 , Sc 2 F 3 , YF 3 , La 2 0 3 , Ce0 2 , Eu 2 0 3 , and DyO 3 .
  • the protective barrier 150 formed on electrode plate 24 comprises a minimum thickness, wherein the minimum thickness can be specified as constant across at least one of the exposed surfaces 140. In another embodiment, the minimum thickness can be variable across the exposed surfaces 140. Alternately, the minimum thickness can be constant over a first portion of an exposed surface and variable over a second portion of the exposed surface. For example, a variable thickness can occur on a curved surface, on a corner, or in a hole. For example, the minimum thickness can ranges from 0.5 micron to 500 micron. Desirably; the minimum thickness can range from 100 micron to 200 micron; and, preferably, the minimum thickness is at least 120 micron.
  • FIG. 7 presents a method of producing the electrode plate in the plasma processing system described in FIG. 1 according to an embodiment of the present invention.
  • a flow diagram 300 begins in 310 with fabricating the electrode plate (e.g., an electrode plate having the characteristics of the plate described with reference to FIGs. 2-6). Fabricating the electrode plate can comprise at least one of machining, casting, polishing, forging, and grinding. For example, each of the elements described above can be machined according to specifications set forth on a mechanical drawing, using conventional techniques including a mill, a lathe, etc. The techniques for machining a component using, for example, a mill or a lathe, are well known to those skilled in the art of machining.
  • the electrode plate can, for example, be fabricated from aluminum.
  • the electrode plate is anodized to form a surface anodization layer.
  • the surface anodization layer comprises aluminum oxide (Al 2 0 3 ). Methods of anodizing aluminum components are well known to those skilled in the art of surface anodization.
  • the surface anodization layer is removed from the exposed surfaces using standard machining techniques.
  • other surfaces e.g., the first surface of the electrode plate excluding the plenum cavity, the first sealing feature, and the second sealing feature
  • may also be machined e.g., to produce a flat or bare surface that provides at least one of a good mechanical or electrical contact at the machined surface.
  • a protective barrier 150 (as described above) is formed on the exposed surfaces 140.
  • a protective barrier comprising, for example Yttria, can be formed using (thermal) spray coating techniques that are well known to those skilled in the art of ceramic spray coatings.
  • forming the protective barrier can further comprise polishing the thermal spray coating.
  • polishing the thermal spray coating can comprise the application of sand paper to the sprayed surfaces.
  • FIG. 8 presents a method of fabricating the electrode plate in the plasma processing system described in FIG. 1 according to another embodiment of the present invention.
  • a flow diagram 400 begins in 410 with machining the electrode plate (e.g., an electrode plate having the characteristics of the plate described with reference to FIGs. 2-6).
  • Fabricating the electrode plate can comprise at least one of machining, casting, polishing, forging, and grinding.
  • each of the elements described above can be machined according to specifications set forth on a mechanical drawing, using conventional techniques including a mill, a lathe, etc.
  • the techniques for machining a component using, for example, a mill or a lathe, are well known to those skilled in the art of machining.
  • the electrode plate can, for example, be fabricated from aluminum.
  • exposed surfaces 140 are masked to prevent the formation of a surface anodization layer thereon.
  • Techniques for surface masking and unmasking are well known to those skilled in the art of surface coatings and surface anodization.
  • other surfaces e.g., the first surface of the electrode plate excluding the plenum cavity, the first sealing feature, and the second sealing feature
  • the electrode plate is anodized to form a surface anodization layer on the remaining unmasked surfaces.
  • the surface anodization layer comprise aluminum oxide (Al 2 0 3 ). Methods of anodizing aluminum components are well known to those skilled in the art of surface anodization.
  • a protective barrier comprising, for example Yttria, can be formed using (thermal) spray coating techniques that are well known to those skilled in the art of ceramic spray coatings.
  • forming the protective barrier can further comprise polishing the thermal spray coating.
  • polishing the thermal spray coating can comprise the application of sand paper to the sprayed surfaces.
  • a flow diagram 500 begins in 510 with fabricating the electrode plate (e.g., an electrode plate having the characteristics of the plate described with reference to FIGs. 2-6). Fabricating the electrode plate can comprise at least one of machining, casting, polishing, forging, and grinding. For example, each of the elements described above can be machined according to specifications set forth on a mechanical drawing, using conventional techniques including a mill, a lathe, etc. The techniques for machining a component using, for example, a mill or a lathe, are well known to those skilled in the art of machining.
  • the electrode plate can, for example, be fabricated from aluminum.
  • a protective barrier 150 (as described above) is formed on the exposed surfaces 145 of the electrode plate.
  • a protective barrier comprising, for example Yttria, can be formed using (thermal) spray coating techniques that are well known to those skilled in the art of ceramic spray coatings.
  • forming the protective barrier can further comprise polishing the thermal spray coating.
  • polishing the thermal spray coating can comprise the application of sand paper to the sprayed surfaces.
  • a mixture of masking and machining prepares the proper number of surfaces to be protected with a protective barrier 150.
  • the plasma surface of the second surface of the electrode plate may be masked to prevent an anodization layer from being formed thereon, while the injection surface of the exit region in the plurality of gas injection orifices is machined after anodization to present a bare, exposed surface.
  • the protective barrier 150 While not necessary in order to form the protective barrier 150 on the exposed surfaces 140, it is also possible to machine other non-exposed surfaces on which an anodization layer has been formed or to mask other non-exposed surfaces prior to performing anodization (e.g., in order to provide a bare surface for an electrical or mechanical connection between parts).
  • Such surfaces may include surfaces of sealing or mating features.

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Sealing Battery Cases Or Jackets (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

L'invention concerne une électrode supérieure améliorée destinée à un système de traitement au plasma, la conception et la fabrication d'une plaque de l'électrode couplée à un ensemble supérieur présentant un caractère avantageux en ce qu'elles permettent d'injecter du gaz de traitement avec une érosion sensiblement minimale de la plaque de l'électrode.
PCT/IB2003/004666 2002-09-30 2003-09-29 Procede et appareil destines a une plaque d'une electrode superieure amelioree dans un systeme de traitement au plasma WO2004030011A2 (fr)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2004539373A JP2006501645A (ja) 2002-09-30 2003-09-29 プラズマ処理システムにおける改良された上部電極板のための方法及び装置
AU2003269393A AU2003269393A1 (en) 2002-09-30 2003-09-29 Improved upper electrode plate in a plasma processing system and manufacturing method for the electrode

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/259,757 US7166200B2 (en) 2002-09-30 2002-09-30 Method and apparatus for an improved upper electrode plate in a plasma processing system
US10/259,757 2002-09-30

Publications (2)

Publication Number Publication Date
WO2004030011A2 true WO2004030011A2 (fr) 2004-04-08
WO2004030011A3 WO2004030011A3 (fr) 2004-08-05

Family

ID=32029554

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/IB2003/004666 WO2004030011A2 (fr) 2002-09-30 2003-09-29 Procede et appareil destines a une plaque d'une electrode superieure amelioree dans un systeme de traitement au plasma

Country Status (6)

Country Link
US (2) US7166200B2 (fr)
JP (1) JP2006501645A (fr)
KR (1) KR100753704B1 (fr)
CN (1) CN100555550C (fr)
AU (1) AU2003269393A1 (fr)
WO (1) WO2004030011A2 (fr)

Families Citing this family (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7780786B2 (en) * 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
US7291566B2 (en) * 2003-03-31 2007-11-06 Tokyo Electron Limited Barrier layer for a processing element and a method of forming the same
US20050019963A1 (en) * 2003-07-21 2005-01-27 Texas Instruments Incorporated Maintaining a reactor chamber of a chemical vapor deposition system
WO2005059974A1 (fr) * 2003-12-15 2005-06-30 Applied Materials, Inc. Plaque avant de flux lateral destinee a ameliorer des proprietes de films de depot en phase vapeur (cdv)
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US7754518B2 (en) * 2008-02-15 2010-07-13 Applied Materials, Inc. Millisecond annealing (DSA) edge protection
JP2009200184A (ja) * 2008-02-20 2009-09-03 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理装置のバッフル板
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
TWM412457U (en) * 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
JP5198611B2 (ja) * 2010-08-12 2013-05-15 株式会社東芝 ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
JP2012119590A (ja) * 2010-12-02 2012-06-21 Mitsubishi Materials Corp プラズマ処理装置用電極板
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
TWI480417B (zh) 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP6339866B2 (ja) * 2014-06-05 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置およびクリーニング方法
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN111627790B (zh) * 2019-02-27 2024-05-03 Toto株式会社 半导体制造装置构件、半导体制造装置、显示器制造装置
US20210032750A1 (en) * 2019-07-31 2021-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition apparatus and method of forming metal oxide layer using the same
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US20010003271A1 (en) * 1999-12-10 2001-06-14 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
EP1156130A1 (fr) * 1999-12-10 2001-11-21 Tocalo Co. Ltd. Element interne de recipient de traitement au plasma et son procede de production
US20030010446A1 (en) * 1999-04-16 2003-01-16 Morio Kajiyama Method of manufacturing a processing apparatus

Family Cites Families (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4310390A (en) 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
US4357387A (en) 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (ja) 1981-09-30 1983-04-05 Sony Corp 緑色螢光体の製造方法
JPS59186325A (ja) * 1983-04-01 1984-10-23 コンパニ−・アンデユストリエル・デ・テレコミユニカシオン・セイテ−アルカテル ドライエツチング装置
JPH065155B2 (ja) 1984-10-12 1994-01-19 住友金属工業株式会社 窯炉の炉壁補修装置
US4593007A (en) 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
US4612077A (en) * 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4985102A (en) 1986-07-17 1991-01-15 Du Pont Canada Inc. Method of making fiber reinforced polyamide sheets
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
DE69015715T2 (de) 1989-07-11 1995-08-17 Sony Corp Verfahren zur Wärmebehandlung eines optischen Oxidkristalles und Wärmebehandlungsvorrichtung dafür.
US5334462A (en) 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5126102A (en) * 1990-03-15 1992-06-30 Kabushiki Kaisha Toshiba Fabricating method of composite material
DE4109979C2 (de) * 1990-03-28 2000-03-30 Nisshin Flour Milling Co Verfahren zur Herstellung beschichteter Teilchen aus anorganischen oder metallischen Materialien
US5180467A (en) * 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
EP0508731B1 (fr) * 1991-04-09 1996-09-18 The Tokyo Electric Power Co., Inc. Utilisation d'une couche d'oxyde pour améliorer la résistance à l'oxidation et à la corrosion d'une aube de turbine à gaz de nitrure de silicium
WO1993024275A1 (fr) 1992-06-01 1993-12-09 Ice Blast International Ltd. Sablage par cristaux de glace
KR100276093B1 (ko) 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5725960A (en) 1992-12-28 1998-03-10 Nippon Zeon Co., Ltd. Molded articles having hard coat layer and method for producing same
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JP3228644B2 (ja) * 1993-11-05 2001-11-12 東京エレクトロン株式会社 真空処理装置用素材及びその製造方法
US5484752A (en) 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5651723A (en) 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US5521790A (en) 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
US5895586A (en) 1994-05-17 1999-04-20 Hitachi, Ltd. Plasma processing apparatus and plasma processing method in which a part of the processing chamber is formed using a pre-fluorinated material of aluminum
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
EP0722919B1 (fr) 1995-01-19 1999-08-11 Ube Industries, Ltd. Composite céramique
JPH08227874A (ja) * 1995-02-21 1996-09-03 Mitsubishi Electric Corp 真空処理装置および真空処理方法
US5759360A (en) 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
US5534356A (en) * 1995-04-26 1996-07-09 Olin Corporation Anodized aluminum substrate having increased breakdown voltage
TW323387B (fr) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP3208044B2 (ja) * 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP3164200B2 (ja) * 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
DE19529627C1 (de) 1995-08-11 1997-01-16 Siemens Ag Thermisch leitende, elektrisch isolierende Verbindung und Verfahren zu seiner Herstellung
EP0777258A3 (fr) 1995-11-29 1997-09-17 Applied Materials Inc Réacteur de traitement par plasma autonettoyant
US5894887A (en) 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
US6373573B1 (en) 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JPH09235662A (ja) 1996-02-28 1997-09-09 Nittetsu Hard Kk 溶射皮膜の形成方法
CN1074689C (zh) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
CA2205817C (fr) 1996-05-24 2004-04-06 Sekisui Chemical Co., Ltd. Traitement a decharge luminescente du plasma et appareil utilise a cette fin
US5892278A (en) 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
JPH104083A (ja) * 1996-06-17 1998-01-06 Kyocera Corp 半導体製造用耐食性部材
JP3241270B2 (ja) 1996-06-25 2001-12-25 日本政策投資銀行 熱電変換装置
US5885402A (en) 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5904778A (en) * 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6301004B1 (en) 2000-05-31 2001-10-09 Lj Laboratories, L.L.C. Apparatus and method for measuring optical characteristics of an object
US5925228A (en) 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US5843239A (en) 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US5900064A (en) 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JP3707229B2 (ja) 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 電子写真感光体およびこれを用いた電子写真画像形成装置
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JPH1136076A (ja) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
KR100258984B1 (ko) 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JP4217299B2 (ja) 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
KR100265288B1 (ko) * 1998-04-22 2000-10-02 윤종용 반도체소자 제조용 식각장치의 배플
JP4037956B2 (ja) 1998-04-28 2008-01-23 東海カーボン株式会社 チャンバー内壁保護部材
JP3810039B2 (ja) 1998-05-06 2006-08-16 キヤノン株式会社 ステージ装置
US6246479B1 (en) 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6389506B1 (en) 1998-08-07 2002-05-14 Cisco Technology, Inc. Block mask ternary cam
JP4162773B2 (ja) 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6221202B1 (en) 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
JP4055880B2 (ja) * 1999-06-02 2008-03-05 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理監視用窓部材及びプラズマ処理装置用の電極板
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6387817B1 (en) * 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
JP4285853B2 (ja) * 1999-09-08 2009-06-24 東京エレクトロン株式会社 処理方法
US6296716B1 (en) 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
JP3798597B2 (ja) * 1999-11-30 2006-07-19 富士通株式会社 半導体装置
US6519037B2 (en) 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
US6362888B1 (en) 1999-12-23 2002-03-26 Lj Laboratories, L.L.C. Spectrometer assembly
JP3567855B2 (ja) 2000-01-20 2004-09-22 住友電気工業株式会社 半導体製造装置用ウェハ保持体
JP3643540B2 (ja) * 2000-02-21 2005-04-27 株式会社日立製作所 プラズマ処理装置
DE50100469D1 (de) 2000-03-15 2003-09-11 Paul-Eric Preising Reinigungsverfahren und -vorrichtung für hochspannungsführende anlagenteile
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
EP1167565B1 (fr) * 2000-06-29 2007-03-07 Shin-Etsu Chemical Co., Ltd. Procédé de dépôt par pulvérisation thermique et poudre d'oxyde de terre rare utilisée à cet effet
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6413578B1 (en) 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
JP2002151473A (ja) * 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
US20020090464A1 (en) * 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
CN101250680B (zh) * 2000-12-12 2013-06-26 东京毅力科创株式会社 等离子体处理容器内部件以及等离子体处理装置
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6533910B2 (en) * 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6790242B2 (en) * 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US7128804B2 (en) * 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
EP1358660B1 (fr) 2001-02-07 2008-08-13 Hitachi Metals, Ltd. Procede de fabrication d'alliage pour aimant a base de fer contenant des terres rares
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
US6811651B2 (en) 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100431660B1 (ko) 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
KR100440500B1 (ko) 2001-12-07 2004-07-15 주식회사 코미코 플라즈마 스프레이 방식을 이용한 세라믹 반도체 부품의제조 및 재생 방법
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
GB2386907B (en) 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US6852433B2 (en) 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
KR100460143B1 (ko) * 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
JP3776856B2 (ja) * 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US20040060779A1 (en) * 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
US6894769B2 (en) 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
JP2004241203A (ja) 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US20030010446A1 (en) * 1999-04-16 2003-01-16 Morio Kajiyama Method of manufacturing a processing apparatus
US20010003271A1 (en) * 1999-12-10 2001-06-14 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
EP1156130A1 (fr) * 1999-12-10 2001-11-21 Tocalo Co. Ltd. Element interne de recipient de traitement au plasma et son procede de production

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 1998, no. 05, 30 April 1998 (1998-04-30) & JP 10 004083 A (KYOCERA CORP), 6 January 1998 (1998-01-06) *

Also Published As

Publication number Publication date
JP2006501645A (ja) 2006-01-12
AU2003269393A1 (en) 2004-04-19
US7566368B2 (en) 2009-07-28
US7166200B2 (en) 2007-01-23
WO2004030011A3 (fr) 2004-08-05
AU2003269393A8 (en) 2004-04-19
CN100555550C (zh) 2009-10-28
KR20050053711A (ko) 2005-06-08
US20040061447A1 (en) 2004-04-01
KR100753704B1 (ko) 2007-08-30
US20070096658A1 (en) 2007-05-03
CN1685464A (zh) 2005-10-19

Similar Documents

Publication Publication Date Title
US7166200B2 (en) Method and apparatus for an improved upper electrode plate in a plasma processing system
US7566379B2 (en) Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6837966B2 (en) Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) Method and apparatus for an improved deposition shield in a plasma processing system
US7678226B2 (en) Method and apparatus for an improved bellows shield in a plasma processing system
US8118936B2 (en) Method and apparatus for an improved baffle plate in a plasma processing system
US7291566B2 (en) Barrier layer for a processing element and a method of forming the same

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 20038232456

Country of ref document: CN

Ref document number: 1020057005446

Country of ref document: KR

Ref document number: 2004539373

Country of ref document: JP

WWP Wipo information: published in national office

Ref document number: 1020057005446

Country of ref document: KR

122 Ep: pct application non-entry in european phase