TWI810254B - 與氫自由基一起使用的設備及使用該設備的方法 - Google Patents

與氫自由基一起使用的設備及使用該設備的方法 Download PDF

Info

Publication number
TWI810254B
TWI810254B TW108108225A TW108108225A TWI810254B TW I810254 B TWI810254 B TW I810254B TW 108108225 A TW108108225 A TW 108108225A TW 108108225 A TW108108225 A TW 108108225A TW I810254 B TWI810254 B TW I810254B
Authority
TW
Taiwan
Prior art keywords
reactor system
coating
holes
gas
remote plasma
Prior art date
Application number
TW108108225A
Other languages
English (en)
Other versions
TW202004943A (zh
Inventor
林興
魏創
王文濤
高培培
王非
布貝西 巴卜 喬希斯瓦蘭
Original Assignee
荷蘭商Asm 智慧財產控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm 智慧財產控股公司 filed Critical 荷蘭商Asm 智慧財產控股公司
Publication of TW202004943A publication Critical patent/TW202004943A/zh
Application granted granted Critical
Publication of TWI810254B publication Critical patent/TWI810254B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B17/00Methods preventing fouling
    • B08B17/02Preventing deposition of fouling or of dust
    • B08B17/06Preventing deposition of fouling or of dust by giving articles subject to fouling a special shape or arrangement
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma

Abstract

本發明揭示一種適合用於在單一製程室內由基板移除碳基汙染物及氧基汙染物兩者的系統及方法。

Description

與氫自由基一起使用的設備及使用該設備的方法
本發明大體上係關於用於製造電子裝置之設備及方法。更特別地是,本揭示內容有關使用氫自由基之設備、其零組件、及形成和使用該設備的方法。
在諸如半導體裝置之電子裝置的產製期間,其通常想要的是於薄膜沈積製程之前由基板的表面移除汙染物。如果未移除,在該表面上之汙染物可不利地影響使用該等基板所形成的裝置之機械及/或電性質。
經由實例,一些裝置製造製程可包含薄膜的選擇性沈積於基板表面的各部份上,其中該薄膜相對該基板表面上之另一部份(例如第二材料)選擇性形成在一部份(例如第一材料)上。於此等案例中,其可為特別想要的是由該基板表面移除氧基汙染物(例如氧化物、諸如金屬和非金屬氧化物)及碳基汙染物、諸如含碳污染物及碳化氫汙染物。
一般的污染物移除方法著重在移除碳基或氧基污染物中之一者,而非兩者。此部分可歸因於先前方法的設備限制。譬如,典型的製程可包含使用氫氟酸濕式製程以移除該等氧基汙染物。另一選擇係,由活化一含氟氣體所形成之氟自由基能使用於移除該氧基材料。不幸地是,氟自由基對反應器零組件、諸如石英係大致上腐蝕性很強的,這對於碳移除可為想要的;該腐蝕 能導致該反應腔室及反應器零組件之降解,該降解亦可導致微粒產生。
包含氫自由基的經活化氫氣能使用於由基板表面移除碳。在這些案例中,石英可為較佳之反應器零組件材料,以減輕氫自由基再結合。然而,石英呈現相對不佳的熱傳特徵,導致越過基板表面之不佳的溫度均勻性。再者,於噴淋頭反應器設計之案例中,氫自由基大致上在噴淋頭的中心區域進入該噴淋頭,且接著水平地輸送至該噴淋頭之邊緣,於此自由基再結合。其結果是,比較於該基板的外周邊,該基板中心可為暴露至較高密度的氫自由基,導致基板中心至邊緣之移除不均勻性。據此,需要移除碳基及氧基污染物兩者的系統及方法。額外地或另一選擇,改善之方法及設備係想要的,以將相當均勻分配之氫自由基提供至基板表面--例如在移除碳基污染的製程期間。
本揭示內容之諸多實施例提供用於對基板的表面提供反應物種、諸如活化氫物種(例如氫自由基)及/或其他活化物種(例如源自一或多個含鹵素氣體)之改善的方法、設備、及系統。例示性方法及系統可使用於由基板之表面移除含碳材料(例如汙染物)及/或含氧材料(例如汙染物)。雖然下面更詳細地討論先前技術領域的諸多缺點之方式,大致上,在此中所敘述的方法及系統可越過基板之表面相當均勻地分配氫自由基。額外或另外選擇的例示性方法及系統能使用於由基板之表面移除含碳及含氧汙染物兩者。
根據本揭示內容的至少一例示性實施例,反應器系統包含反應腔室,包含有一內部表面;一遠端電漿源,耦接至該反應腔室;及一氫氣源,耦接至該遠端電漿源,其中該內部表面的至少一部份包括一塗層、諸如金屬氧化物塗層。該塗層可為相對非多孔的及/或平滑的,以減輕活性物種、諸如氫自由基之再結合。原子層沈積法或陽極氧化製程能使用於形成該非多孔塗層。
根據本揭示內容的至少另一例示性實施例,氣體分配設備包含頂部區段(例如頂板)、具有形成穿過該處之複數個孔洞的底部區段(例如底板)、及該頂部區段與該底部區段之間的歧管板。該歧管板可包含由該歧管板之中心區域徑向地延伸至該歧管板的周邊之複數個氣體通道,以有利於在該氣體分配設備內提供更均勻的活化物種(例如氫自由基)分配,並因此提供至基板之表面。該頂部區段的內部、底部區段、及/或歧管板能為塗有一塗層--例如金屬氧化物--如在此中所敘述。該塗層可進一步有利於該等氫自由基之較長壽命,藉此進一步增加該等自由基的分配及該基板處理之均勻性。
根據本揭示內容的至少另一實施例,氣體分配設備包含頂部區段(例如頂板),具有一開口,以承接氣體;一底部區段(例如底板),具有形成穿過該處之複數個孔洞,該底部區段包括有一中心區域及一外部區域;及一腔室,形成於該頂部區段與該底部區段之間。為有利於活性物種的想要分配至基板表面,該底部區段可包含以下之一或多個:該外部區域中的孔洞之直徑係大於該中心區域中的孔洞之直徑,該外部區域中的孔洞之密度(該底部區段的底部表面之每單位表面積)係大於該中心區域中的孔洞之密度,且該外部區域的周邊係大於包括有該氣體分配系統之反應腔室中的待處理基板之周邊。
為了概述本發明之態樣及相對於相關技術所達成之優點,在本揭示中描述本發明之某些目的及優點。當然,應明瞭無須根據本發明之任何特定實施例來達成所有該等目的或優點。因此,舉例而言,熟習此項技術者將認知到,可以如本文中所教示之達成或最佳化一個優點或一組優點而無須達成本文中可能教示或建議之其他目的或優點的方式來體現或實施本發明。
300:反應器系統
310:反應腔室
320:基座
330:氣體分配設備/噴淋頭
340:遠端電漿單元
345:輸送路徑
350:基板
355:塗層
360:第一氣體源
370:第二氣體源
380:第三氣體源
390:第四氣體源
400:反應器系統
410:反應腔室
420:基座
430:氣體分配設備/噴淋頭
440:第一遠端電漿單元
445:第二遠端電漿單元
446:輸送路徑
447:第二輸送路徑
448:第一閘閥
449:第二閘閥
450:基板
460:第一氣體源
470:第二氣體源
480:第三氣體源
490:第四氣體源
495:塗層
502:反應腔室
504:氣體分配設備/噴淋頭
506:噴淋頭式排氣裝置
507:高壓間
508:導管
800:底部區段
802:孔洞
804:塗層
900:氣體分配設備
902:頂部區段
904:底部區段
906:歧管板
908:表面
910:表面
912:孔洞
914:入口
916:腔室
918:氣體通道
920:氣體通道
1102:緊固件
1104:穿透孔
1202:熔接接頭
1204:熔接接頭
1206:銷釘
1300:底部區段
1302:孔洞
1304:孔洞
1306:中心區域
1308:外部區域
1502:底部區段
1504:下方之基板
1506:底部區段
1508:下方的基板
D1:距離
D2:距離
D3:距離
當結合以下說明圖式思考時,可藉由參照實施方式及申請專利 範圍而獲得對本揭示內容之實施例的更完整理解。
圖1及2繪示典型氣體分配設備中之氫自由基的濃度分佈。
圖3繪示根據本揭示內容之至少一實施例之反應器的橫截面圖。
圖4繪示根據本揭示內容之至少一實施例之另一個反應器的橫截面圖式。
圖5A及5B繪示根據本揭示內容之至少一實施例而包含有噴淋頭式排氣的反應器系統之視圖。
圖6A、6B及6C繪示例示性反應器零組件塗層。
圖7繪示在石英氣體分配設備中及於氣體分配設備中之氫自由基分配,該氣體分配設備具有根據本揭示內容的至少一例示性實施例之塗層。
圖8繪示包括根據本揭示內容之至少一實施例而包含有塗層的氣體分配設備之一部份。
圖9繪示包含根據本揭示內容之至少一實施例的歧管板之氣體分配設備。
圖10繪示包含根據本揭示內容之至少一實施例的歧管板之氣體分配設備的一部份。
圖11繪示供使用於包含根據本揭示內容之至少一實施例的歧管板之氣體分配設備的緊固件。
圖12A、12B及12C繪示包含根據本揭示內容之至少一實施例的歧管板之氣體分配設備中的熔接接頭及銷釘。
圖13繪示包含根據本揭示內容之至少一實施例的歧管板之氣體分配設備的底部區段之底部表面。
圖14A、14B、14C、15A及15B繪示根據本揭示內容之實施例的例示性氣體分配設備。
應理解,圖式中之元件係為簡單及清楚起見而展示且未必按比例繪製。舉例而言,可相對於其他元件將圖式中之一些元件之尺寸擴大以幫助改良對 所說明之本揭示內容之實施例的理解。
以下提供之方法、系統及設備之例示性實施例的說明僅為例示性且僅意欲用於說明目的用;以下說明不意欲限制本揭示內容或申請專利範圍之範疇。此外,引述具有所述特徵之多個實施例不意欲排除具有額外特徵之其他具體例或納入所述特徵之不同組合的其他具體例。
如下面所更詳細地提出,在此中所敘述之例示性方法、設備、及系統能使用於製造電子裝置、諸如半導體裝置。尤其是,例示性系統能使用於將活化物種(例如源自氫及/或鹵素氣體)提供至基板的表面,以例如由該基板表面清潔或移除汙染物。在本揭示內容中,根據上下文,「氣體」可以包括汽化之固體及/或液體且可以由單一氣體或氣體混合物構成,取決於具體情況。例示性方法、設備、及系統可對反應器及/或反應器之氣體分配設備內的活化物種(例如氫自由基及/或活化鹵素物種)提供延長之壽命、及/或能提供該活化物種的更均勻分配。
該等方法、設備、及系統能使用或包含設有單一製程室之反應器系統,具有移除譬如碳基汙染物(在此中亦稱為碳基材料)及/或氧基汙染物(在此中亦稱為氧基材料)的能力。經由實例,該等方法、設備及系統可使用於清潔(例如)包括有以下材料之至少一者的半導體基板:矽;矽鍺;或鍺。在一實施例中,矽鍺中之鍺的百分比可自10%變化至90%。此外,可使用本揭示內容之實施例來蝕刻碳層,諸如先進圖案化膜(APF);光阻劑;或包含CHFx、SiOF、SiC或SiOC之其他碳污染物。此外,可使用本揭示內容之實施例來清潔諸如氧化矽、氮化矽、氧氮化矽、碳氧化矽、及碳氧氮化矽之介電材料之表面。此外,可將本揭示內容之實施例應用至圖案化晶圓表面。例示性方法亦可使用於 從諸如TiAlC或TaAlC等之金屬碳化物中移除金屬。
經活化之氫--例如藉由遠端電漿所產生的氫自由基能使用於在氣相反應器中移除該等碳基材料。然而,如於圖1中所說明,在此較暗之區域指示較高濃度的氫自由基,該等氫自由基通常可再結合以於離開氣體分配設備或抵達基板表面之前形成氫氣。於所說明實例中,當含該等自由基的氣體往下運動經過該氣體分配設備時,該等氫自由基係耗盡,且一旦該氣體在該氣體分配設備之底部開始徑向地往外行進,則進一步耗盡該等氫自由基。這被認為是由於氫的高擴散比率,其允許該氫迅速地抵達發生再結合事件之表面並與該等表面反應。圖2說明氫自由基越過基板的表面之典型分配,在此該等氫自由基係相對該基板的中心靠近該基板之周邊除去。
圖3繪示根據本揭示內容之至少一實施例之反應器系統300。系統300包含反應腔室310、基座320、噴淋頭330、遠端電漿單元340、及於該遠端電漿單元340與該反應腔室310間之輸送路徑345。基板350係放置在該基座320上供處理。
反應腔室310至少局部地界定於其中處理該基板350的空間。為增加遠端電漿單元340中所產生之氫自由基的壽命,反應腔室310或其一部份、基座320、噴淋頭330、及/或輸送路徑345能塗有材料(例如說明為塗層355)及/或由塊狀陶瓷材料所形成,以便增進氫自由基壽命及允許用於與其他活化物種、諸如源自一或多個含鹵素氣體之活化物種(例如包含有自由基)的相容性。用於塗層355之材料可包含金屬氧化物,其包括有下列中的至少一者:陽極氧化之氧化鋁(Al2O3);原子層沉積(ALD)形成之氧化鋁;電漿噴霧Al2O3;具有天然氧化鋁、氧化釔(Y2O3)之裸鋁部件;經氧化釔穩定之氧化鋯(YSZ);氧化鋯(ZrO2);氧化鑭鋯(LZO);釔鋁石榴石(YAG);氧氟化釔(YOF);以上材料之組合;或摻雜其他玻璃相材料之以上基板。在一些情況中,塗層材料可利用兩層 製成。例如,第一層可利用陽極氧化Al2O3塗布及第二層可利用ALD形成之Al2O3塗布。塗料可係非晶相、結晶相、或混合的。塊狀陶瓷材料可包括:氧化鋁(Al2O3);氧化鋯(ZrO2);氧化釔(Y2O3);或經氧化釔穩定之氧化鋯(YSZ)。根據本揭示內容之特定例示性實施例,該塗料包含材料、諸如氧化物材料(例如金屬氧化物),其比較於譬如金屬具有較低之再組結合係數,且如此可使用於促進反應器系統300中的氫自由基之較長的壽命。於存在活化的鹵素(例如含氟)氣體下,上面所提及之金屬氧化物、諸如Al2O3及Y2O3亦呈現良好的抗腐蝕性。這些陶瓷材料、尤其是Al2O3係相當便宜的,並能以不同之製造方法塗布於大機械加工零件上。發明人發現平滑(例如具有約0.1-0.8μm的中間或平均粗糙度(Ra),其可為與基板350之Ra相同或類似)、非多孔的(例如<1%孔隙度)金屬氧化物塗層延長反應器系統內之氫自由基壽命的效果很好。實現該平滑、非多孔塗層的一種方式係使用原子層沈積法沈積該塗層材料。於此案例中,該塗層的厚度範圍可由約100nm至約750nm或由約250nm至約500nm。獲得平滑、非多孔塗層之第二方式係形成非多孔的陽極氧化(例如Al2O3)塗層,具有約100nm至約1000nm、約100nm至約750nm、或約250nm至約500nm之厚度。
圖6A、6B及6C繪示以下的透射電子顯微鏡影像:圖6A:傳統之陽極氧化(Al2O3)塗層,圖6B:非多孔的ALD塗層,及圖6C:非多孔之陽極氧化塗層。如在圖6A中所繪示,使用傳統陽極氧化製程所形成的塗層呈現六角孔圖案,其能誘捕氫自由基,並能夠依序導致相當短之氫自由基壽命。於對比下,非多孔的Al2O3塗層呈現相當平滑及非多孔之表面,導致相當低的氫自由基表面再結合及甚至比典型之石英材料更好的性能。圖7繪示在包含有Al2O3塗覆表面之反應器系統及包含有石英表面的反應器系統間之基板表面上的碳移除比率之比較。
如本文中所述之適用於塗層之例示性ALD製程係可在例如約1至 約10Torr下於約150℃至約400℃中進行。
一般來說,該非多孔的陽極氧化製程能使用於相當薄地沈積(例如,如比較於將薄膜沈積高達100微米之傳統陽極氧化製程,具有少於一微米之厚度的薄膜)。再者,比較於典型之陽極氧化製程,大致上更多因素、諸如施加的電壓、電解液成份、表面預處理與類似者等能使用於最佳化使用該非多孔之陽極氧化製程所形成的薄膜。
上面所敘述之ALD及非多孔的方法可為保形的,使得塗層804(在圖8中所繪示)均勻地覆蓋諸多反應器系統100部份,甚至橫跨小的特徵部,像形成在氣體分配設備間之底部區段800內的孔洞802,下面更詳細地描述。於所說明實例中,D1的範圍可由約0.5mm至約4mm;D2之範圍可由約0.5mm至約8mm;及D3的範圍可由約0.5mm至約8mm。傳統技術、諸如電漿或熱噴灑Al2O3塗布技術大致上不能均勻地覆蓋反應器系統內之此等特徵部。未適當地塗布以該塗層的任何區域可為易受腐蝕,其能導致在該基板表面上之微粒及缺陷。
再次參考圖6,ALD及非多孔的陽極氧化Al2O3塗層兩者係相當密集的(例如>3.1g/cm3),且能夠耐受侵蝕性(例如含氟)電漿。極強的ICP電漿腐蝕測試示範非多孔Al2O3塗層之耐腐蝕性係趕得上塊狀Al2O3材料。用於這些材料,該反應F離子及/或自由基只貫穿數個nm進入該塗層表面,且形成AlFx鈍化層。以典型的碳及/或氧化物殘渣移除製程,該塗層之表面未受反應氟自由基所損壞。再者,因為塗層355係相當薄(例如約100nm至約1000nm),該塗層係相對抗開裂,甚至於高達約500℃的升高溫度。這對於需要高溫之一些製程係有益的。譬如,在用於SiGe預清潔製程之碳材料移除中,有效的清潔大致上涉及相當高之溫度(例如約150℃至約300℃),且如此當暴露至此等溫度係想要的時,塗層不會開裂或剝落。
再次參考圖3,於所說明實例中,反應器系統300包含第一氣體源360、第二氣體源370、第三氣體源380、及第四氣體源390,所有氣體源可將氣體提供至該遠端電漿單元340。第一氣體源360、第二氣體源370、第三氣體源380、及第四氣體源390的一或多個可額外或另一選擇地建構成將氣體直接地提供進入反應腔室310,而未通過遠端電漿單元340。儘管繪示有四個氣體源,反應器系統100可包含任何合適之氣體源數目,且不須包含所有四個氣體源。
第一氣體源360可包括前驅體氣體的來源,其譬如產生活化鹵素物種--例如氟自由基、諸如NF3、CF4、C2F6、C4F6、C4F8、COF2、SF6或WF6。第二氣體源370可包括(例如)產生氫自由基之氣體的來源,諸如H2、NH3、或H2O。第二氣體源370可包括(例如)產生氧自由基之氣體,諸如氧或臭氧。第三氣體源380可為NH3之來源。第四氣體源190可為,例如,惰性氣體之來源,諸如氬、氦、氮、或氖。
遠端電漿單元340由一或多個來源氣體(例如來自第一氣體源360、第二氣體源370、第三氣體源380、及/或第四氣體源390的一或多個氣體)產生活化物種(例如自由基)。所產生之自由基接著經過氣體分配設備(例如噴淋頭)330進入該反應腔室110,且接著流動至基板350上。遠端電漿源可包括:藉由諸如400kHz、2MHz、60MHz及2.56GHz微波來源之不同RF頻率所驅動的環狀型式ICP源或線圈型式ICP源。經由特定實例,遠端電漿單元340可為來自MKS Instruments公司的Paragon H *遠端電漿單元或包括該Paragon H*遠端電漿單元。
圖4繪示根據本揭示內容之至少一實施例的另一反應器系統400。反應器系統400可為類似於反應器系統300,且包含反應腔室410、基座420、氣體分配設備(例如噴淋頭)430、第一遠端電漿單元440、第二遠端電漿單元445、在第一遠端電漿單元440下方之輸送路徑446、及於第二遠端電漿單元 445下方的第二輸送路徑447。基板450置於基座420上用來加工。反應器系統400亦可包含第一閘閥448及/或第二閘閥449。
反應腔室410至少局部地界定一在其中處理基板450的空間。反應腔室410、基座420、及/或噴淋頭430可為塗有材料(例如塗層495)及/或包括促進氫自由基壽命之延長的材料及/或允許用於與不同自由基之相容性、諸如上述塗層和材料的任一者,並可使用上述技術之任一者所形成。
在所說明實例中,反應器系統400包含第一氣體源460、第二氣體源470、第三氣體源480、及第四氣體源490,其可將氣體提供至該第一遠端電漿單元440、第二遠端電漿單元445、及/或直接地至反應腔室410。第一氣體源460、第二氣體源470、第三氣體源480、及/或第四氣體源490可為與上面關於圖3所討論之對應氣體源相同或類似。第一遠端電漿單元440及第二遠端電漿單元445可包括譬如藉由不同RF頻率、諸如400kHz、2MHz、60MHz及2.56GHz微波來源所驅動的環狀型式ICP源或線圈型式ICP源,或為環狀型式ICP源或線圈型式ICP源。且第一遠端電漿單元440及第二遠端電漿單元445之至少一者可為來自MKS Instruments的Paragon H *遠端電漿單元或包括該Paragon H*遠端電漿單元。
根據說明性之實例,第一遠端電漿單元440能使用於形成第一類型的活化物種(例如包括氟自由基),且第二遠端電漿單元445可使用於由該等氣體源形成第二類型之活化物種(例如氫自由基)。所產生的自由基可接著經過氣體分配設備(例如噴淋頭)430進入反應腔室410,且接著流動至該基板450上。為防止由一個遠端電漿單元所產生之自由基往回串流至第二遠端電漿中,可將閘閥448及449坐落在或靠近該個別遠端電漿單元的出口。
圖5A及5B繪示根據本揭示內容之至少一例示性實施例的另一反應器系統500。反應器系統500可包含如上面關於反應器系統300及400所敘述之 相同或類似零組件,包含有該相同或類似塗層、氣體源及/或遠端電漿單元。
反應器系統500包含反應腔室502、氣體分配設備(例如噴淋頭)504、及噴淋頭式排氣裝置506。具有惰性載送氣體的活性物種可經過導管508輸送進入高壓間507,在此僅一部分氣體混合物將經過該噴淋頭504氣體孔洞配送,而該剩餘部分將配送進入噴淋頭式排氣裝置506及最終進入真空泵。該混合物之進入噴淋頭式排氣裝置506的部分將藉由用於製程調整及最佳化之PCV閥所調節。用於此方式的優點係高壓間內側之H*自由基濃度將由於該中心及該邊緣間之H*輸送時間減少而更加均勻,其最終減少該碳移除不均勻性。如果未改善,因為活性物種濃度在噴淋頭504之前將未減少,這亦將保持該氧化物移除不均勻性恆定。類似於反應器系統300及400,來自一或多個遠端電漿單元的活性物種可為以導管(輸送路徑)508承接。反應器系統500之濕式表面的任何一者能塗布有上面關於反應器系統300及400所敘述之材料的任何一者及/或由該等材料所形成,並可使用上面所述技術所形成。
現在翻至圖9及10,繪示包含有歧管板906之例示性氣體分配設備900。氣體分配設備900包含頂部區段902、底部區段904、及歧管板906。氣體分配設備900能譬如與反應器系統300、400、500的任何一者有關地使用,並可使用於進一步促進活化物種、諸如氣體分配系統900內及該反應器系統內(例如越過該反應器系統之反應腔室內的基板表面)之氫自由基的壽命。
在操作中,一或多個氣體係於頂部區段902之入口914承接,且係在頂部區段902及底部區段904之間所形成的腔室916內分散。該氣體接著被分配至留駐在氣體分配設備900下方之基板。
頂部區段902可為或包括板件。該板件可為由譬如鋁或一或多個上述材料所形成。一或多個表面、例如頂部區段902的表面908可為塗布有材料、諸如塗層355或495之任一者。
底部區段904亦可為板件或包括板件。該板件可為由譬如鋁及/或鎳或上述的一或多個材料所形成。底部區段904之一或多個表面、例如表面910可為塗布有材料、諸如塗層355或是495的任一者。底部區段904包含複數個孔洞912(其可為與孔洞802相同或類似),氣體能經過該等孔洞912由腔室916行進至基板表面。孔洞912可為均勻地分配及/或為大約相同之尺寸,或可為於其他組構中,如在下面更詳細地敘述。
歧管板906能使用於促進來自遠端電漿單元、諸如遠端電漿單元340、440、445的任何一者之活化物種(例如氫自由基)的更均勻分配。歧管板906包含複數個氣體通道918、920,以將活化物種分配至遠離氣體分配設備900之中心的區域。如所繪示,氣體通道918、920可為大致上垂直於來自入口914之氣體的流動,並能徑向地及/或垂直於該入口流動延伸。每一氣體通道能由腔室916之半徑或類似尺寸的約10%延伸至約100%、或約20%延伸至約80%、或約40%延伸至約70%。每一氣體分配通道918、920具有約2mm至約20mm、或約5mm至約15mm、或約7mm至約12mm之直徑。大致上,該直徑(或類似的橫截面尺寸)應為大到足以減輕氫徑向再結合。歧管板906可包含任何合適數目之氣體通道;譬如,歧管板906能包含由約2至約50個、約4至約48個、或約10至約30個通道。於一些案例中,為補償不均勻性,由於其他因素、諸如溫度等,氣體通道918、920可為不均勻地分配及/或設計尺寸(例如該等通道的長度及/或直徑可變動)。
圖11及12A-12C說明例示性技術,將歧管板906耦接至頂部區段902及底部區段904之一或多個。圖11說明緊固件1102(例如塗布有如上述的塗層之螺釘或螺栓)的使用。緊固件1102能包含在該緊固件之底部中心或靠近該緊固件的底部中心之穿透孔1104,以減少副產物累積。
圖12A及12B說明熔接接頭1202、1204,其係使用於將歧管板 906耦接至頂部區段902。熔接接頭1202、1204可為經由(例如)電子束熔接技術所形成。除了緊固件1102以外或當作緊固件1102的另一選擇,可使用熔接接頭1202、1204。
圖12C說明能使用於將歧管板906固定至頂部區段902之銷釘1206。銷釘1206可為由譬如不銹鋼所形成。
現在翻至圖13,繪示適合供使用當作底部區段904的底部區段1300。底部區段1300包含中心區域1306,包含有複數個孔洞1302;及外部區域1308,包含有複數個孔洞1304。中心區域1306可包含底部區段1300之底部表面的約10%至約99%、約25%至約75%、或約75%至約99%。根據一實例,外部區域包含孔洞1304之外部大部份環形區。該等孔洞可建構成譬如孔洞的同心環形區。根據本揭示內容之至少一例示性實施例,外部區域1308中的孔洞1304之直徑的範圍由約1mm至約3mm、約1.5至約2.5mm、或約1.8mm至約2.2mm。中心區域1306中之孔洞1302的直徑之範圍可由約0.5mm至約1.5mm、約0.75至約1.25mm、或約0.8mm至約1.2mm。
圖14A-14C繪示於底部區段1300的外緣使用較大直徑孔洞之效果。在圖14A中,所有該等孔洞係具有相同直徑(1mm)。於此案例中,氫自由基之分配係較不均勻的,使中心區域1306相對外部區域1308具有較高濃度之氫自由基。在圖14B中,外部區域1308中的孔洞之直徑係增加至1.5mm,並改善氫自由基的分配之均勻性。於圖14C中,在外部區域1308中的孔洞之直徑係增加至2mm,且氫自由基的分配之均勻性係進一步改善。再者,能調整外部區域1308中的孔洞之配置及/或直徑,以呈現邊緣傾斜輪廓(如於圖14C中所繪示),而非邊緣滾落輪廓(如於圖14A中所繪示)。
根據本揭示內容的另一例示性實施例,除了具有不同尺寸之孔洞以外或替代具有不同尺寸的孔洞,底部區段1300可具有於由底部區段1300之 中心徑向地延伸的區域中之諸多孔洞密度。譬如,孔洞的密度能由底部區段1300之中心至底部區段1300的外部邊緣增加(例如線性地、幾何學地等)。能設計孔洞之密度,以提供均勻的物種(例如氫自由基)分配。
根據本揭示內容之又一例示性實施例,除了具有不同尺寸及/或密度的孔洞以外或替代具有不同尺寸及/或密度之孔洞,底部區段1300的尺寸係大於待處理基板之尺寸。譬如,類似尺寸的直徑可為約1%至約100%、約2%至約50%、或約5%至約30%大於待處理基板之直徑(或類似尺寸)。提供大於該基板的底部區段係認為進一步減少任何邊緣滾落,如在圖15A及15B中所繪示,在此圖15A繪示底部區段1502,其尺寸係大約與在下方之基板1504相同,且圖15B繪示底部區段1506,其係大於在下方的基板1508。相對圖15A中所繪示之設備,滾落的效果係藉由使用圖15B中所繪示之設備而減少。
根據本揭示內容之例示性實施例之方法係包含氧化物轉化步驟、氧化物昇華步驟、及碳移除步驟。可如想要地重複這些步驟的任何一者。該整個方法亦可如所想要地重複。
根據本揭示內容之至少一個其他實施例的另一方法係包含碳移除步驟、氧化物轉化步驟、及氧化物昇華步驟。可如想要地重複這些步驟之任何一者,並可如想要地重複該整個方法。
根據本揭示內容的又另一方法包含碳移除步驟、氧化物轉化步驟、氧化物昇華步驟、及碳移除步驟。可如想要地重複這些步驟之任何一者,並可如想要地經過一重複循環重複該整個方法。
例示性氧化物轉化步驟包含使氣態前驅體流入遠端電漿單元之步驟、及使所產生的自由基及額外之前體流動至基板上的步驟。根據本揭示內容之至少一實施例,流動氣態前驅體的步驟包含氬、氫、及NF3之流入該遠端電漿單元。氬之流量可介於0.01與20slm之間、介於0.1與10slm之間、或介於1 與8slm之間。氫之流量可介於10sccm與1500slm之間、介於25與1200slm之間、或介於50sccm與1000slm之間。NF3之流量可在電漿於遠端電漿單元中打開時發生介於0.1與120秒之間、介於1與100秒之間、或介於5與80秒之間之特定量的時間。
包括有氟自由基的氣體係藉此於該遠端電漿單元中產生。該等氟自由基離開該遠端電漿單元及可與視情況選用之額外前驅體氣體結合至設置在反應腔室中的基板上。視情況選用之額外前驅體氣體可包括以介於10sccm與1500slm、介於25與1200slm、或介於50sccm與1000slm之間之速率流動的氨。該氧化物轉化步驟可導致與具有氧化物的矽鍺基板上之氧化物的化學反應如下:NH4F(g)+SiGeOx(s)→(NH4)2SiF6(s)+(NH4)2GeF6(s)+H2O(g)
由於該氧化物轉化步驟之結果,該氧化物可轉化成在該基板上的固態六氟矽酸銨化合物和固態六氟鍺酸銨化合物。
根據本揭示內容之至少一實施例,該氧化物昇華步驟包含第一加熱步驟、第二加熱步驟或此二者。第一加熱步驟可包括將基板加熱至大於125℃、大於100℃、或大於90℃之溫度。第一步驟410之結果可係固態六氟矽酸銨化合物根據以下反應昇華:(NH4)2SiF6(s)→NH3(g)+HF(g)+SiF4(g)
氣態產物隨後可自反應腔室移除。
第二加熱步驟可包括將基板加熱至高於第一加熱步驟之溫度。該溫度可係大於275℃、大於250℃、或大於225℃。為達到高操作溫度,可設計高溫噴淋頭以加熱至250℃-300℃而不加熱反應腔室。第二步驟之結果可係固態六氟鍺酸銨化合物根據以下反應昇華:(NH4)2GeF6(s)→NH3(g)+HF(g)+GeF4(g)
氣態產物隨後可自反應腔室移除。
根據本揭示內容之至少一實施例,該碳移除包括使氫前驅體及其他氣態前驅體流入遠端電漿單元之步驟、及所產生的自由基及視情況選用之額外前驅體流動至基板上的步驟。第一加熱步驟可包括使氬、氫、及氨流入至遠端電漿單元中。該等氣體可流動介於0.1與180秒之間、介於1與120秒之間、或介於10與90秒之間的持續時間。結果,於遠端電漿單元中產生氫自由基。
所產生之氫自由基可與基板上之碳基污染物反應。此步驟可在介於25℃與500℃之間、介於75℃與400℃之間、或介於150℃與300℃之間的溫度下發生。該結果可係根據以下反應移除碳:C(s)+H*(g)→CxHy(g)
氣態產物隨後可自反應腔室移除。
所展示且描述之特定實施方案係對本揭示內容及其最佳模式之說明且並不意欲以任何方式另外限制態樣及實施方案之範圍。實際上,為簡潔起見,系統之習知製造、連接、製備及其他功能性態樣可不加以詳細描述。此外,各種圖中展示之連接線意欲表示各種元件之間的例示性功能性關係及/或實體耦合。許多替代或附加功能關係或實體連接可存在於實際系統中,且/或在一些實施例中可能不存在。
應理解,本文中所述之配置及/或方法本質上為例示性的,且此等特定實施例或實例不視為具有限制意義,原因在於可能存在諸多變化。本文中所描述之特定程序或方法可表示任何數目的處理程序策略中之一或多者。因此,所說明之各種動作可以所說明之順序、以其他順序進行,或在一些情況下被省略。
本發明之標的物包括本文中所揭示之各種製程、系統及組構以及其他特徵、功能、動作及/或特性,以及其任何及所有等效物的所有新穎但非 顯而易見之組合及子組合。

Claims (18)

  1. 一種反應器系統,包括:反應腔室,包括內部表面;遠端電漿源,耦接至該反應腔室;在該反應腔室與該遠端電漿源之間的輸送路徑;及氫氣源,耦接至該遠端電漿源,其中該輸送路徑及至少一部分的該內部表面包括金屬氧化物塗層。
  2. 如申請專利範圍第1項所述之反應器系統,其中該金屬氧化物包括氧化鋁。
  3. 如申請專利範圍第1項所述之反應器系統,其中該塗層係使用原子層沈積法所形成。
  4. 如申請專利範圍第1項所述之反應器系統,其中該塗層之厚度範圍由約100nm至約750nm。
  5. 如申請專利範圍第1項所述之反應器系統,其中該塗層係使用陽極氧化製程所形成,且其中該塗層係非多孔的。
  6. 如申請專利範圍第5項所述之反應器系統,其中該塗層之平均表面粗糙度範圍由約0.1μm至約0.8μm。
  7. 如申請專利範圍第5項所述之反應器系統,其中該塗層之厚度範圍由約100nm至約1000nm。
  8. 如申請專利範圍第1項所述之反應器系統,其進一步包含第二遠端電漿單元以及在該遠端電漿源與該反應腔室之間的第二輸送路徑,其中該第二輸送路徑包括金屬氧化物塗層。
  9. 如申請專利範圍第1項所述之反應器系統,其進一步包含氣體分配設備,該氣體分配設備包括金屬氧化物塗層。
  10. 如申請專利範圍第9項所述之反應器系統,其中該氣體分配設備包括:頂部區段;底部區段,具有形成穿過該處的複數個孔洞;及歧管板,在該頂部區段與該底部區段之間,其中該歧管板包括由該歧管板的中心區域徑向地延伸之複數個氣體通道。
  11. 如申請專利範圍第10項所述之反應器系統,其中該歧管板包括約2個至約50個氣體通道。
  12. 如申請專利範圍第10項所述之反應器系統,其中該等孔洞之每一個的直徑範圍由約0.5mm至約3mm。
  13. 如申請專利範圍第10項所述之反應器系統,其中該等孔洞之每一個的直徑範圍由約5mm至約15mm。
  14. 如申請專利範圍第9項所述之反應器系統,其中該氣體分配設備包括:頂部區段,具有一開口,以承接氣體;底部區段,具有形成穿過該處之複數個孔洞,該底部區段包括有中心區域及外部區域;及腔室,形成於該頂部區段與該底部區段之間,其中該外部區域中的孔洞之直徑係大於該中心區域中的孔洞之直徑。
  15. 如申請專利範圍第14項所述之反應器系統,其中該中心區域中之孔洞的直徑範圍由約0.5mm至約1.5mm。
  16. 如申請專利範圍第14項所述之反應器系統,其中該外部區域中之孔洞的直徑範圍由約1mm至約3mm。
  17. 如申請專利範圍第14項所述之反應器系統,其中該複數個孔洞 在該外部區域之密度係比該複數個孔洞在該中心區域之密度更大。
  18. 如申請專利範圍第14項所述之反應器系統,其中該外部區域之周邊係比該反應腔室中的待處理基板之周邊更大。
TW108108225A 2018-05-09 2019-03-12 與氫自由基一起使用的設備及使用該設備的方法 TWI810254B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/974,948 2018-05-09
US15/974,948 US20190348261A1 (en) 2018-05-09 2018-05-09 Apparatus for use with hydrogen radicals and method of using same

Publications (2)

Publication Number Publication Date
TW202004943A TW202004943A (zh) 2020-01-16
TWI810254B true TWI810254B (zh) 2023-08-01

Family

ID=68465250

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108108225A TWI810254B (zh) 2018-05-09 2019-03-12 與氫自由基一起使用的設備及使用該設備的方法

Country Status (5)

Country Link
US (1) US20190348261A1 (zh)
JP (1) JP7440215B2 (zh)
KR (1) KR20190128997A (zh)
CN (1) CN110473802A (zh)
TW (1) TWI810254B (zh)

Families Citing this family (249)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10950416B2 (en) 2018-11-16 2021-03-16 Mattson Technology, Inc. Chamber seasoning to improve etch uniformity by reducing chemistry
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR102225604B1 (ko) * 2019-12-18 2021-03-10 피에스케이 주식회사 기판 처리 장치
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230215702A1 (en) * 2021-12-30 2023-07-06 Applied Materials, Inc. Uniformity control for plasma processing using wall recombination

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020066532A1 (en) * 1999-04-13 2002-06-06 Hong Shih Corrosion-resistant protective coating for an apparatus and method for processing a substrate
US20140060572A1 (en) * 2012-08-30 2014-03-06 Tokyo Electron Limited Plasma processing apparatus and cleaning method for removing metal oxide film
TW201526105A (zh) * 2013-11-25 2015-07-01 Lam Res Corp 用於低溫原子層沉積膜之腔室底塗層準備方法
TW201534751A (zh) * 2014-01-31 2015-09-16 Applied Materials Inc 腔室塗層
TW201634265A (zh) * 2015-02-13 2016-10-01 安特格利斯公司 用於增強基材製品及設備之性質與表現的塗層

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0296891B1 (en) * 1987-06-26 1996-01-10 Applied Materials, Inc. Process for self-cleaning of a reactor chamber
JP2002158219A (ja) 2000-09-06 2002-05-31 Sekisui Chem Co Ltd 放電プラズマ処理装置及びそれを用いた処理方法
JP3823037B2 (ja) 2001-09-27 2006-09-20 積水化学工業株式会社 放電プラズマ処理装置
JP2004099972A (ja) * 2002-09-10 2004-04-02 Kyushu Mitsui Alum Kogyo Kk 陽極酸化処理用アルミニウム合金及びそれを用いたプラズマ処理装置
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
EP1940620B1 (en) * 2005-10-20 2009-01-28 Agfa Graphics Nv Negative working, heat-sensitive lithographic printing plate precursor
WO2008114753A1 (ja) * 2007-03-22 2008-09-25 Tokyo Electron Limited 基板載置台,基板処理装置,基板載置台の表面加工方法
JP5065772B2 (ja) * 2007-06-08 2012-11-07 株式会社神戸製鋼所 プラズマ処理装置用部材およびその製造方法
US9484213B2 (en) * 2008-03-06 2016-11-01 Tokyo Electron Limited Processing gas diffusing and supplying unit and substrate processing apparatus
JP5665265B2 (ja) 2008-06-24 2015-02-04 東京エレクトロン株式会社 チャンバー部品を介してプロセス流体を導入する方法及びシステム
JP5268626B2 (ja) 2008-12-26 2013-08-21 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8721791B2 (en) * 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
KR102212369B1 (ko) 2012-08-23 2021-02-03 어플라이드 머티어리얼스, 인코포레이티드 Uv 챔버들을 세정하기 위한 방법 및 하드웨어
JP6240695B2 (ja) * 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10508339B2 (en) * 2017-05-31 2019-12-17 Applied Materials, Inc. Blocker plate for use in a substrate process chamber

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020066532A1 (en) * 1999-04-13 2002-06-06 Hong Shih Corrosion-resistant protective coating for an apparatus and method for processing a substrate
US20140060572A1 (en) * 2012-08-30 2014-03-06 Tokyo Electron Limited Plasma processing apparatus and cleaning method for removing metal oxide film
TW201526105A (zh) * 2013-11-25 2015-07-01 Lam Res Corp 用於低溫原子層沉積膜之腔室底塗層準備方法
TW201534751A (zh) * 2014-01-31 2015-09-16 Applied Materials Inc 腔室塗層
TW201634265A (zh) * 2015-02-13 2016-10-01 安特格利斯公司 用於增強基材製品及設備之性質與表現的塗層

Also Published As

Publication number Publication date
CN110473802A (zh) 2019-11-19
JP2019205995A (ja) 2019-12-05
JP7440215B2 (ja) 2024-02-28
TW202004943A (zh) 2020-01-16
US20190348261A1 (en) 2019-11-14
KR20190128997A (ko) 2019-11-19

Similar Documents

Publication Publication Date Title
TWI810254B (zh) 與氫自由基一起使用的設備及使用該設備的方法
TWI794238B (zh) 於單一加工腔室中自半導體膜移除氧化物及碳之裝置及方法
TWI674617B (zh) 用於在電漿清潔製程之後執行電漿處理製程的方法
US10755900B2 (en) Multi-layer plasma erosion protection for chamber components
US10916407B2 (en) Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US9885117B2 (en) Conditioned semiconductor system parts
US9460898B2 (en) Plasma generation chamber with smooth plasma resistant coating
TW202115278A (zh) 反應器系統、處理基材表面之方法、及使用此方法所形成之結構
US9604249B2 (en) Innovative top-coat approach for advanced device on-wafer particle performance
CN105474363B (zh) 抗等离子体的陶瓷涂层的浆料等离子体喷涂
KR100834324B1 (ko) 개선된 파티클 성능을 가지는 반도체 공정 설비
US10428426B2 (en) Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
US9551070B2 (en) In-situ corrosion resistant substrate support coating
JP2006128485A (ja) 半導体処理装置
US20060090773A1 (en) Sulfur hexafluoride remote plasma source clean
CN116568862A (zh) 陈化处理腔室的方法
US20210343509A9 (en) Conditioned semiconductor system parts
JP2000311859A (ja) フォーカスリングおよびその製造方法
JP2005272866A (ja) 半導体製造装置及び半導体装置の製造方法