JP2019205995A - 水素ラジカルを使用するための装置およびその使用方法 - Google Patents

水素ラジカルを使用するための装置およびその使用方法 Download PDF

Info

Publication number
JP2019205995A
JP2019205995A JP2019083810A JP2019083810A JP2019205995A JP 2019205995 A JP2019205995 A JP 2019205995A JP 2019083810 A JP2019083810 A JP 2019083810A JP 2019083810 A JP2019083810 A JP 2019083810A JP 2019205995 A JP2019205995 A JP 2019205995A
Authority
JP
Japan
Prior art keywords
gas
gas distribution
distribution device
coating
reactor system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019083810A
Other languages
English (en)
Other versions
JP7440215B2 (ja
Inventor
シン・リン
Xing Lin
チャン・ウェイ
Chang Wei
ウェンタオ・ワン
Wentao Wang
ペイペイ・ガオ
Peipei Gao
フェイ・ワン
Fei Wang
ブベシュ・バブ・ジョティーズワラン
Babu Jotheeswaran Bubesh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of JP2019205995A publication Critical patent/JP2019205995A/ja
Application granted granted Critical
Publication of JP7440215B2 publication Critical patent/JP7440215B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B17/00Methods preventing fouling
    • B08B17/02Preventing deposition of fouling or of dust
    • B08B17/06Preventing deposition of fouling or of dust by giving articles subject to fouling a special shape or arrangement
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)
  • Paper (AREA)

Abstract

【課題】単一のプロセスチャンバー内で基材から炭素系汚染物質および酸素系汚染物質の両方を除去するのに好適な反応器システムおよび方法の提示。【解決手段】内表面を備える反応チャンバー310と、反応チャンバー310に連結する遠隔プラズマユニット340と、遠隔プラズマユニット340に連結する水素源370とを備え、反応チャンバー310の内表面の少なくとも一部は、金属酸化物コーティングを備える、反応器システムおよび方法。【選択図】図3

Description

本開示は、概ね、電子デバイスを製造するための装置及び方法に関する。より具体的には、本開示は、水素ラジカルを使用する装置、その構成材料、ならびにその形成方法および使用方法に関する。
電子デバイス、例えば半導体デバイスの製造中に、膜堆積プロセスの前に基材の表面から汚染物質を除去することが多くの場合望ましい。除去しなければ、表面上の汚染物質は、基材を用いて形成されるデバイスの機械的および/または電気的特性に悪影響を及ぼす可能性がある。
例として、いくつかのデバイス製造プロセスは、基材表面の一部の上への膜の選択的堆積を含むことができ、膜は、基材表面上のある部分(例えば、第一の材料)上に、別の部分(例えば、第二の物質)に対して選択的に形成される。このような場合、酸素系汚染物質(例えば、酸化物、例えば金属酸化物および非金属酸化物)ならびに炭素系汚染物質、例えば炭素質汚染物質および炭化水素汚染物質を、基材表面から除去することが特に望ましい場合がある。
汚染物質を除去する典型的な方法は、炭素系または酸素系のいずれかの汚染物質のうちの一つを除去することに注目しているが、両方の除去ではない。これは、一つには、従来の方法の装置上の制限に起因する可能性がある。例えば、典型的なプロセスは、酸素系汚染物質を除去するためにフッ化水素酸ウエットプロセスを使用することを含むことができる。あるいは、フッ素含有ガスを活性化することから形成されるフッ素ラジカルを用いて、酸素系物質を除去することができる。残念なことに、フッ素ラジカルは一般的に、炭素除去に望ましい反応器構成材料、例えば石英、に対して腐食性が高く、腐食は、反応チャンバーの劣化および反応器構成材料の劣化を招く可能性があり、これも粒子の発生を招く可能性がある。
水素ラジカルを含む活性水素ガスを用いて、基材表面から炭素を除去することができる。これらの場合、石英は水素ラジカル再結合を軽減するための好ましい反応器構成材料であることができる。しかし、石英は比較的劣った熱伝達特性を示し、基材表面全体での劣った温度均一性をもたらす。更に、シャワーヘッド反応器設計の場合、水素ラジカルは一般的にシャワーヘッドの中央領域でシャワーヘッドに入り、そしてラジカルがしばしば再結合するシャワーヘッドの縁部まで水平に移動する。結果として、基材の中心部は、基材の外周部と比較して、より高密度の水素ラジカルに曝される可能性があり、基材の中心から端部への除去の不均一性をもたらす。したがって、炭素系および酸素系汚染物質の両方を除去するシステムおよび方法が望まれている。追加的または代替的に、例えば炭素系汚染物質を除去するためのプロセス中に、基材表面に水素ラジカルを比較的均一に分布させるための改良された方法および装置が望まれる。
本開示の様々な実施形態は、反応種、例えば、活性水素種(例えば、水素ラジカル)および/または(例えば、一つまたは複数のハロゲン含有ガスに由来する)他の活性種を基材の表面にもたらすための改善された方法、装置、およびシステムを提供する。例示的な方法およびシステムを用いて、炭素含有物質(例えば、汚染物質)および/または酸素含有物質(例えば、汚染物質)を基材の表面から除去することができる。様々な欠点がある従来技術の方法が以下でより詳細に論じられるが、概ね、本明細書に記載される方法およびシステムは、基材の表面全体に水素ラジカルを比較的均一に分配することができる。追加的または代替的に、例示的な方法およびシステムを用いて、炭素含有汚染物質および酸素含有汚染物質の両方を基材表面から除去することができる。
本開示の少なくとも一つの例示的実施形態では、反応器システムは、内表面を備える反応チャンバーと、反応チャンバーに連結する遠隔プラズマ源と、遠隔プラズマ源に連結する水素源と、を備え、内表面の少なくとも一部は、コーティング、例えば金属酸化物コーティングを備える。コーティングは、活性種、例えば水素ラジカルの再結合を軽減するために、比較的非多孔質および/または平滑とすることができる。原子層堆積または陽極酸化処理を用いて、非多孔質コーティングを形成することができる。
本開示の少なくとも別の例示的な実施形態では、ガス分配装置は、頂部(例えば、天板)と、そこを通って形成される複数の穴を有する底部(例えば、底板)と、それらの間のマニホールドプレートと、を備える。マニホールドプレートは、マニホールドプレートの中央領域からマニホールドプレートの周辺部まで径方向に延在する複数のガスチャネルを備え、ガス分配装置内で、したがって基材の表面に活性種(例えば、水素ラジカル)を容易により均一に分布させることができる。本明細書に記載のように、頂部、底部、および/またはマニホールドプレートの内部をコーティング材、例えば金属酸化物でコーティングすることができる。コーティングは、水素ラジカルの寿命を容易に更に延ばすことができ、それによってラジカルの分布および基材処理の均一性を更に増大させることができる。
本開示の少なくとも一つの別の実施形態では、ガス分配装置は、ガスを受け入れるための開口部を有する頂部(例えば、天板)と、底部(例えば、底板)であって、底部はそれを貫通して形成される複数の穴を有し、中央領域と外側領域とを備える、底部と、頂部と底部との間に形成されるチャンバーと、を備える。基材表面へ活性種の所望の分布を促進するために、底部は:外側領域の穴の直径は、中央領域の穴の直径よりも大きいこと;外側領域における穴の(底部の底面の単位表面積当たり)密度は、中央領域における穴の密度より大きいこと;外側領域の周囲は、ガス分配システムを備える反応チャンバー内で処理される基材の周囲よりも大きいこと;のうちの一つまたは複数を含むことができる。
本発明の態様および関連技術を超えて達成される利点を要約するために、本発明のいくつかの目的および利点を本開示に記載する。当然のことながら、必ずしもこうした目的又は利点の全てが本発明の任意の特定の実施形態によって達成されなくてもよいことが理解されるべきである。したがって、例えば、当業者であれば、本明細書で教示または示唆される他の目的または利点を必ずしも達成することなく、本明細書で教示される一つの利点または利点の群を達成または最適化する方法で、本発明が具現化または実行され得ることを認識するであろう。
本開示の実施形態のより完全な理解は、以下の例示的な図面に関連して考慮される場合、発明を実施するための形態及び特許請求の範囲を参照することによって得られることができる。
図1は典型的なガス分配装置における水素ラジカルの濃度プロファイルである。 図2は典型的なガス分配装置における水素ラジカルの濃度プロファイルである。 図3は本開示の少なくとも一つの実施形態による反応器システムの断面図である。 図4は本開示の少なくとも一つの実施形態による別の反応器システムの断面図である。 図5Aは本開示の少なくとも一つの実施形態によるシャワーヘッド排気部を備える反応器システムの図である。 図5Bは本開示の少なくとも一つの実施形態によるシャワーヘッド排気部を備える反応器システムの図である。 図6A、6B、および6Cは例示的な反応器構成材料のコーティングである。 図7は本開示の少なくとも一つの例示的実施形態による、石英ガス分配装置内およびコーティングを有するガス分配装置内の水素ラジカル分布である。 図8は本開示の少なくとも一つの実施形態による、コーティングを備えるガス分配装置の一部である。 図9は本開示の少なくとも一つの実施形態による、マニホールドプレートを備えるガス分配装置である。 図10は本開示の少なくとも一つの実施形態による、マニホールドプレートを備えるガス分配装置の一部である。 図11は本開示の少なくとも一つの実施形態による、マニホールドプレートを備えるガス分配装置に使用するための留め具である。 図12A、12B、および12Cは本開示の少なくとも一つの実施形態による、マニホールドプレートを備えるガス分配装置内の溶接継手およびダボである。 図13は本開示の少なくとも一つの実施形態による、マニホールドプレートを備えるガス分配装置の底部の底面である。 図14A、14B、および14Cは本開示の実施形態による、例示的なガス分配装置である。 15Aおよび15Bは本開示の実施形態による、例示的なガス分配装置である。
当然のことながら、図内の要素は、単純かつ明瞭にするために例示されており、必ずしも縮尺通りに描かれていない。例えば、図面中のいくつかの要素の寸法は、本開示の例示された実施形態の理解の向上に役立つために、他の要素に対して誇張されてもよい。
以下に提供される方法、システム、および装置の例示的な実施形態の説明は単なる例示であり、例示のみを目的としており、以下の説明は、本開示の範囲も特許請求の範囲も限定することを意図しない。更に、記載された特徴を有する複数の実施形態の列挙は、追加の特徴を有する他の実施形態も、記載された特徴の異なる組み合わせを組み込む他の実施形態をも排除することを意図していない。
以下により詳細に説明するように、本明細書に記載の例示的な方法、装置、およびシステムを、電子デバイス、例えば半導体デバイスの製造に使用することができる。特に、例示的なシステムを使用して、例えば基材表面から汚染物質を洗浄または除去するために、基材の表面に(例えば、水素および/またはハロゲンガスに由来する)活性種を供給することができる。本開示において、「ガス」は、気化した固体および/または液体を含むことができ、状況に応じて単一のガスまたはガスの混合物によって構成されることができる。例示的な方法、装置、およびシステムは、反応器内および/もしくは反応器のガス分配装置内の活性種(例えば、水素ラジカルおよび/または活性ハロゲン種)の寿命を延ばすことができ、ならびに/または活性種をより均一に分布させることができる。
方法、装置、およびシステムは、例えば炭素系汚染物質(本明細書では炭素系物質とも呼ばれる)および/または酸素系汚染物質(本明細書では酸素系物質とも呼ばれる)を除去する能力を有する単一のプロセスチャンバーを有する反応器システムを使用または含むことができる。例として、方法、装置、およびシステムを用いて、以下の材料:例えばシリコン、シリコンゲルマニウム、またはゲルマニウム、のうちの少なくとも一つを含む半導体基材を洗浄することができる。一実施形態では、シリコンゲルマニウム中のゲルマニウムの割合は、10%〜90%まで変動し得る。また、本開示の実施形態は、炭素層、例えばアドバンスドパターニングフィルム(APF)、フォトレジスト、またはCHFx、SiOF、SiC、もしくはSiOCを含む他の炭素汚染物をエッチングするために使用されることができる。更に、本開示の実施形態は、誘電体材料、例えば酸化ケイ素、窒化ケイ素、酸窒化ケイ素、シリコンカルボキシド、およびシリコンカルボキシナイトライド、の表面を洗浄するために使用されることができる。更に、本開示の実施形態は、パターン形成された基材表面に適用されることができる。例示的な方法を用いて、金属炭化物、例えばTiAlCまたはTaAlC等から金属を除去することもできる。
活性水素、例えば遠隔プラズマによって生成される水素ラジカルを用いて、気相反応器中の炭素系物質を除去することができる。しかし、より暗い領域がより高い濃度の水素ラジカルを示す図1に例示されるように、水素ラジカルは、ガス分配装置を出る前に、または基材表面に達する前にしばしば再結合して水素ガスを形成する場合がある。例示の例では、水素ラジカルは、ラジカルを含むガスがガス分配装置を通って下方に移動するにつれて欠乏し、ガスがガス分配装置の底部で径方向外側に移動し始めると更に欠乏する。これは、水素の拡散速度が速いためであると考えられており、これにより水素は速やかに表面に到達して表面と反応し、そこで再結合現象が起こる。図2は、基材の表面全体での水素ラジカルの典型的な分布を示しており、ここで水素ラジカルは、基材の中心と比較して基材の周辺部付近で欠乏している。
図3は、本開示の少なくとも一つの実施形態による反応器システム300を例示する。システム300は、反応チャンバー310、サセプタ320、シャワーヘッド330、遠隔プラズマユニット340、および遠隔プラズマユニット340と反応チャンバー310との間の搬送経路345を備える。基材350は、処理のためにサセプタ320上に配置される。
反応チャンバー310は、少なくとも部分的に、基材350が処理される空間を画定する。遠隔プラズマユニット340で生成される水素ラジカルの寿命を延ばすために、反応チャンバー310もしくはその一部、サセプタ320、シャワーヘッド330、および/もしくは搬送経路345を、(例えば、コーティング355として例示する)材料でコーティングすることができ、ならびに/または、バルクセラミック材料で形成することができ、これにより、水素ラジカルの寿命を延ばし、かつ、他の活性種、例えば一つもしくは複数のハロゲン含有ガスから誘導される(例えばラジカルを含む)活性種と適合することができる。コーティング355の材料は、陽極酸化アルミニウム(Al)、原子層堆積(ALD)で形成された酸化アルミニウム、プラズマスプレーAl、自然酸化アルミニウムを有する裸のアルミニウム部品、酸化イットリウム(Y)、酸化イットリウム安定化酸化ジルコニウム(YSZ)、酸化ジルコニウム(ZrO))、ランタンジルコニウム酸化物(LZO)、イットリウムアルミニウムガーネット(YAG)、オキシフッ化イットリウム(YOF)、上記材料の組み合わせ、または他のガラス相材料でドープされた上記基材のうちの少なくとも一つを含む、金属酸化物を含むことができる。場合によっては、コーティング材料は二つの層から作られる。例えば、第一の層を陽極酸化Alでコーティングし、第二の層をALDで形成されたAlでコーティングすることができる。コーティングは、非晶質相、結晶相、又は混合相であってもよい。バルクセラミック材料は、酸化アルミニウム(Al)、酸化ジルコニウム(ZrO)、酸化イットリウム(Y)、又は酸化イットリウム安定化酸化ジルコニウム(YSZ)を含むことができる。本開示の特定の例示的実施形態では、コーティングは、材料、例えば金属と比較してより低い再結合係数を有する例えば酸化物材料(例えば金属酸化物)を含み、したがって反応器システム300内の水素ラジカルの寿命を容易に延ばすために使用できる。上記の金属酸化物、例えばAlおよびYもまた、活性ハロゲン(例えば、フッ素含有)ガスの存在下で良好な耐食性を示す。これらのセラミック材料、特にAlは比較的安価であり、そして様々な製造方法で大型の加工部品上にコーティングすることができる。本発明者らは、平滑な(例えば、基材350の平均粗さ(Ra)と同じまたは類似であることができる、約0.1〜0.8μmの平均または平均粗さ(Ra)を有する)、非多孔質(例えば、<1%の気孔率)の金属酸化物コーティングは、反応器システム内の水素ラジカル寿命を延ばすのにうまく機能することを見出した。平滑な非多孔質のコーティングを達成するための一つの方法は、原子層堆積を用いてコーティング材料を堆積することである。この場合、コーティングの厚さは、約100nm〜約750nm、または約250nm〜約500nmの範囲とすることができる。平滑な非多孔質のコーティングを得るための第二の方法は、約100nm〜約1000、約100nm〜約750nm、または約250nm〜約500nmの厚さを有する非多孔質陽極酸化(例えば、Al)コーティングを形成することである。
図6A、6B、および6Cは、図6A:従来の陽極酸化(Al)コーティング、図6B:非多孔質ALDコーティング、および図6C:非多孔質陽極酸化コーティング、の透過型電子顕微鏡画像を示す。図6Aに示すように、従来の陽極酸化プロセスを使用して形成されるコーティングは、ヘキソゲンホールパターンを示し、それは水素ラジカルを捕捉する可能性があり、それは次に水素ラジカルの比較的短い寿命を招く可能性がある。対照的に、非多孔質Alコーティングは、比較的平滑な非多孔質表面を示し、その結果、比較的低い水素ラジカルの表面再結合および典型的な石英材料よりも更に優れた性能をもたらす。図7は、Alコーティング表面を備える反応器システムと石英表面を備える反応器システムとの間の基材表面上の炭素除去速度の比較を示す。
本明細書に記載のコーティングに好適な例示的なALDプロセスは、例えば、約150℃〜約400℃で約1〜約10Torrで行われ得る。
一般的に、非多孔質陽極酸化処理を用いて、比較的薄い(例えば、最大100ミクロンの膜を堆積する従来の陽極酸化処理と比較して1ミクロン未満の厚さを有する)膜を堆積させることができる。更に、典型的な陽極酸化処理と比較して、一般的に、より多くの要因、例えば印加電圧、電解質組成、表面前処理などを用いて、非多孔質陽極酸化処理を用いて形成される膜を最適化することができる。
以下により詳細に説明するように、(図8に例示される)コーティング804が様々な反応器システム100の部分を、ガス分配装置の底部800内に形成される穴802のような小さな形体全域でさえ均一にコーティングするように、上述のALDおよび非多孔質方法は共形であることができる。図示の例では、D1は約0.5mm〜約4mmの範囲とすることができ、D2は約0.5mm〜約8mmの範囲とすることができ、D3は約0.5mm〜約8mmの範囲とすることができる。従来技術、例えばプラズマまたは溶射Alコーティング技術は、一般的に、反応器システム内のこのような形体を均一にコーティングすることができない。コーティングで適切にコーティングされない全ての領域は腐食の影響を受けやすく、それは基材表面上に粒子や欠陥が発生させる可能性がある。
再び図6を参照すると、ALDおよび非多孔質陽極酸化Alコーティングの両方は、比較的緻密であり(例えば、>3.1g/cm)、アグレッシブ(例えば、F含有)プラズマに耐えることができる。極めて過酷なICPプラズマ腐食試験は、非多孔質Alコーティングの耐食性がバルクAl材料に匹敵することを示す。これらの材料の場合、反応性Fイオンおよび/またはラジカルは数nmだけコーティング表面に浸透し、AlFパッシベーション層を形成する。典型的な炭素および/または酸化物残渣除去プロセスでは、コーティング表面は反応性フッ素ラジカルによって損傷を受けなかった。更に、コーティング355は比較的薄い(例えば、約100nm〜約1000nm)ため、コーティングは約500℃までの高温でもクラックに対して比較的耐性がある。これは高温を必要とするいくつかのプロセスにとって有益である。例えば、SiGe前洗浄プロセスのための炭素物質除去において、効果的な洗浄は一般的に比較的高い温度(例えば、約150℃〜約300℃)を必要とする。したがって、このような温度に曝される場合にクラックまたは剥離しないコーティングが望ましい。
図3を再び参照すると、例示の例では、反応器システム300は、第一のガス源360、第二のガス源370、第三のガス源380、および第四のガス源390を備えることができ、これら全ては、遠隔プラズマユニット340にガスを供給することができる。第一のガス供給源360、第二のガス供給源370、第三のガス供給源380、および第四のガス供給源390のうちの一つまたは複数は、追加的または代替的に、遠隔プラズマユニット340を通過することなく、直接反応チャンバー310内にガスを供給するように構成されることができる。四つのガス源を用いて例示されているが、反応器システム100は任意の好適な数のガス源を備えることができ、四つ全てのガス源を含む必要はない。
第一のガス源360は、活性ハロゲン種、例えばフッ素ラジカル、例えばNF、CF、C、C、C、COF、SF、またはWFを生成する前駆体ガス源を含むことができる。第二のガス源370は、水素ラジカル、例えばH、NH、および/またはHOを生成するガス源を含むことができる。追加的または代替的に、第二のガス源370は、酸素ラジカル、例えば酸素またはオゾンを生成するガスを含むことができる。第三のガス源380は、NH.源とすることができる。第四のガス源190は、不活性ガス、例えばアルゴン、ヘリウム、またはネオンの供給源とすることができる。
遠隔プラズマユニット340は、一つまたは複数の原料ガス(例えば、第一のガス源360、第二のガス源370、第三のガス源380、および/または第四のガス源390からの一つまたは複数のガス)から活性種(例えば、ラジカル)を生成する。次に、生成したラジカルは、ガス分配装置(例えば、シャワーヘッド)330を通って反応チャンバー110に入り、そして基材350上へ流れる。遠隔プラズマ源は、異なるRF周波数、例えば400kHz、2MHz、60MHz、及び2.56GHzのマイクロ波源によって駆動されるトロイダル型ICP源、又はコイル式ICP源を含み得る。特定の例として、遠隔プラズマユニット340は、例えば、MKS Instruments製のParagon H*遠隔プラズマユニットとすることができる、またはそれを備えることができる。
図4は、本開示の少なくとも一つの実施形態による別の反応器システム400を例示する。反応器システム400は、反応器システム300と同様であることができ、反応チャンバー410、サセプタ420、ガス分配装置(例えば、シャワーヘッド)430、第一の遠隔プラズマユニット440、第二の遠隔プラズマユニット445、第一の遠隔プラズマユニット440の下の搬送経路446、および第二の遠隔プラズマユニット445の下の第二の搬送経路447を備える。基材450は、処理のためにサセプタ420上に配置される。反応器システム400はまた、第一のゲートバルブ448、および/または第二のゲートバルブ449を備えてもよい。
反応チャンバー410は、基材450が処理される空間を少なくとも部分的に画定する。反応チャンバー410、サセプタ420、および/またはシャワーヘッド430は、材料(例えば、コーティング495)でコーティングされること、ならびに/または、水素ラジカルの寿命を容易に延長させる、および/もしくは異なるラジカルと適合できる材料、例えば上記のコーティング材および材料のいずれかを含むことができ、ならびに上記の方法のいずれかを用いて形成することができる。
例示の例では、反応器システム400は、第一の遠隔プラズマユニット440、第二の遠隔プラズマユニット445に、および/または直接反応チャンバー410にガスを供給することができる第一のガス源460、第二のガス源470、第三のガス源480、および第四のガス源490を備える。第一のガス源460、第二のガス源470、第三のガス源480、および/または第四のガス源490は、図3に関連して上述した対応するガス源と同じまたは類似であることができる。第一の遠隔プラズマユニット440および第二の遠隔プラズマユニット445は、異なるRF周波数、例えば400kHz、2MHz、60MHz、および2.56GHzマイクロ波源によって駆動されるトロイダル型ICP源またはコイル型ICP源を備えるか、それらであってもよい。そして、第一の遠隔プラズマユニット440および第二の遠隔プラズマユニット445の少なくとも一方は、MKS Instruments製のParagon H*遠隔プラズマユニットとすることができるか、またはそれを備えることができる。
例示的な例では、第一の遠隔プラズマユニット440を用いて、(例えば、フッ素ラジカルを含む)第一の種類の活性種を形成することができ、第二の遠隔プラズマユニット445を用いて、ガス源から第二の種類の活性種(例えば、水素ラジカル)を形成することができる。生成されたラジカルは次にガス分配装置(例えばシャワーヘッド)430を通って反応チャンバー410に入り、次に基材450上に流れることができる。一つの遠隔プラズマユニットによって生成されたラジカルが第二の遠隔プラズマへ逆流するのを防ぐために、ゲートバルブ448および449をそれぞれの遠隔プラズマユニットの出口またはその近くに配置することができる。
図5Aおよび図5Bは、本開示の少なくとも一つの例示的実施形態による別の反応器システム500を例示する。反応器システム500は、上記の反応器システム300および400に関連する、同一または類似の構成要素を備えることができ、同一または類似のコーティング、ガス源および/または遠隔プラズマユニットを備えることができる。
反応器システム500は、反応チャンバー502、ガス分配装置(例えば、シャワーヘッド)504、およびシャワーヘッド排気部506を備える。不活性キャリアガスを含む活性種は、導管508を通ってプレナム507に搬送されることができ、ここでごく一部のガス混合物のみがシャワーヘッド504のガス穴を通って分配され、残りはシャワーヘッドの排気部506に、そして最終的には真空ポンプ内に分配される。シャワーヘッド排気部506に入る混合物の割合は、プロセスの調整および最適化のためにPCVバルブによって調整されるであろう。この方法の利点は、中心と縁部との間のH*搬送時間の減少によりプレナム内のH*ラジカル濃度がはるかにより均一になり、最終的に炭素除去の不均一性が減少することである。また、シャワーヘッド504の前の活性種濃度が減少しないので、酸化物除去の不均一性が改善されないとしても、不均一性は変化しない。反応器システム300および400と同様に、一つまたは複数の遠隔プラズマユニットからの活性種を導管搬送経路)508で受け入れることができる。反応器システム500のウエット表面はいずれも、反応器システム300および400に関連して上述した材料のいずれかでコーティング、および/または形成されることができ、ならびに上記の方法を用いて形成されることができる。
ここで図9および図10を見ると、マニホールドプレート906を備える例示的なガス分配装置900が例示される。ガス分配装置900は、頂部902、底部904、およびマニホールドプレート906を備える。ガス分配装置900を、例えば反応器システム300、400、500のいずれかと関連して使用することができ、活性種、例えば、ガス分配システム900内および反応器システム内の(例えば、反応器システムの反応チャンバー内の基材表面全体の)水素ラジカルの寿命を容易に更に延ばすことができる。
動作中、一つまたは複数のガスが頂部902の入口914で受け取られ、頂部902と底部904との間に形成されるチャンバー916内に分散される。次に、ガスは、ガス分配装置900の下にある基材に分配される。
頂部902はプレートであるかまたはそれを備えることができる。プレートを、例えば、アルミニウムまたは上記の材料のうちの一つまたは複数から形成することができる。一つまたは複数の表面、例えば頂部902の表面908を、材料、例えばコーティング355または495のいずれかでコーティングすることができる。
底部904はプレートであるかまたはそれを備えることができる。プレートを、例えば、アルミニウムおよび/もしくはニッケル、または上記の材料のうちの一つまたは複数から形成することができる。一つまたは複数の表面、例えば底部904の表面910を、材料、例えばコーティング355または495のいずれかでコーティングすることができる。底部904は、ガスがチャンバー916から基材表面へと移動することができる、(穴802と同一とするまたは類似することができる)複数の穴912を備える。穴912は、均一に分布させることができ、および/またはほぼ同じサイズにすることができ、または以下により詳細に説明する他の構成にすることができる。
マニホールドプレート906を用いて、遠隔プラズマユニット、例えば遠隔プラズマユニット340、440、445のいずれかから活性種(例えば、水素ラジカル)を容易により均一に分布させることができる。マニホールドプレート906は、活性種をガス分配装置900の中心から離れた領域に分配するための複数のガスチャネル918、920を備える。例示のように、ガスチャネル918、920は、入口914からのガスの流れに対してほぼ垂直にすることができ、径方向におよび/または入口の流れに対して垂直に延在することができる。各ガスチャネルは、チャンバー916の半径または同様の寸法の約10パーセント〜約100パーセント、または約20パーセント〜約80パーセント、または約40パーセント〜約70パーセント延在することができる。各ガス分配チャネル918、920は、約2mm〜約20mm、または約5mm〜約15mm、または約7mm〜約12mmの直径を有する。一般的に、直径(または同様の断面寸法)は、水素の径方向再結合を緩和するのに十分なほど大きくなければならない。マニホールドプレート906は、任意の好適な数のガスチャネルを備えることができ、例えば、マニホールドプレート906は、約2〜約50、約4〜約48、または約10〜約30のチャネルを備えることができる。場合によっては、他の要因、例えば温度等による不均一性を補償するために、ガスチャネル918、920は不均一に分布され、および/または不均一なサイズであってもよい(例えば、チャネルの長さおよび/または直径が変化してもよい)。
図11および図12A〜図12Cは、マニホールドプレート906を頂部902および底部904のうちの一つまたは複数に結合するための例示的な方法を例示する。図11は、留め具1102(例えば、上記のコーティング材でコーティングされたねじまたはボルト)の用途を示す。留め具1102は、副産物の蓄積を減らすために、留め具の底部中央またはその近くに貫通孔1104を備えることができる。
図12Aおよび図12Bは、マニホールドプレート906を頂部902に結合するために使用される溶接接合部1202、1204を示す。溶接接合部1202、1204を、例えば、電子ビーム溶接技術によって形成することができる。留め具1102に加えて、またはその代わりとして、溶接接合部1202、1204を使用することができる。
図12Cは、マニホールドプレート906を頂部902に固定するために使用されることができるダボ1206を例示する。ダボ1206を、例えばステンレス鋼で形成することができる。
ここで図13を見ると、底部904としての使用に好適な底部1300が例示されている。底部1300は、複数の穴1302を含む中央領域1306と、複数の穴1304を含む外側領域1308とを備える。中央領域1306は、底部1300の底面の約10パーセント〜約99パーセント、約25パーセント〜約75パーセント、または約75パーセント〜約99パーセントを含むことができる。一例では、外側領域は、最も外側の穴1304の輪を含む。穴は、例えば、同心円状の穴の輪として構成されることができる。本開示の少なくとも一つの例示的実施形態では、外側領域1308内の穴1304の直径は、約1mm〜約3mm、約1.5〜約2.5mm、または約1.8mm〜約2.2mmの範囲である。中央領域1306内の穴1302の直径は、約0.5mm〜約1.5mm、約0.75〜約1.25mm、または約0.8mm〜約1.2mmの範囲とすることができる。
図14A〜図14Cは、底部1300の外縁に、より大きな直径の穴を使用することの効果を例示する。図14Aでは、全ての穴は同じ直径(1mm)である。この場合、外側領域1308と比較して中央領域1306内の水素ラジカルの濃度がより高いので、水素ラジカルの分布は一様ではない。図14Bでは、外側領域1308の穴の直径は1.5mmに増大し、水素ラジカルの分布の均一性が改善されている。図14Cでは、外側領域1308の穴の直径は2mmに増大し、水素ラジカルの分布の均一性が更に改善されている。更に、(図14Aに例示する)エッジロールオフ輪郭ではなく(図14Cに例示する)エッジチルト輪郭を示すように、外側領域1308内の穴の配置および/または直径を調整することができる。
本開示の更なる例示的実施形態では、底部1300は、異なるサイズの穴を有することに加えて、またはその代わりに、底部1300の中心から径方向に延在する領域において様々な穴密度を有することができる。例えば、穴の密度は、底部1300の中心から底部1300の外縁まで(例えば、直線的に、幾何学的に等)増加することができる。種(例えば、水素ラジカル)を均一に分布させるように、穴の密度を設計することができる。
本開示のなお更なる例示的実施形態では、底部1300は、異なるサイズおよび/もしくは密度の穴を有することに加えて、またはその代わりに、処理される基材のサイズよりも大きいサイズを有することができる。例えば、同様の寸法の直径は、処理される基材の直径(または同様の寸法)よりも約1パーセント〜約100パーセント、約2パーセント〜約50パーセント、または約5パーセント〜約30パーセント大きいとすることができる。図15Aは下にある基材1504とほぼ同じサイズである底部1502を示し、図15Bは下にある基材1508よりも大きい底部1506を示す、図15Aおよび図15Bに例示するように、基材よりも大きい底部を設けることは、いかなるエッジロールオフも更に減少させると考えられる。図15Aに例示される装置と比較して、図15Bに例示される装置を使用することによって、ロールオフの影響は低減される。
本開示の例示的実施形態による方法は、酸化物変換工程と、酸化物昇華工程と、炭素除去工程と、を含む。これらの工程のいずれも必要に応じて繰り返すことができる。この方法全体も必要に応じて繰り返すこともできる。
本開示の少なくとも一つの他の実施形態による別の方法は、炭素除去工程と、酸化物変換工程と、酸化物昇華工程と、を含む。これらの工程のいずれも必要に応じて繰り返すことができ、方法全体を必要に応じて繰り返すことができる。
本開示による更に別の方法は、炭素除去工程と、酸化物変換工程と、酸化物昇華工程と、炭素除去工程と、を含む。これらの工程のいずれも必要に応じて繰り返すことができ、方法全体を必要に応じて繰り返しサイクルにより繰り返すことができる。
例示的な酸化物変換工程は、気体前駆体を遠隔プラズマユニット内に流す工程と、生成されたラジカルおよび追加の前駆体を基材上に流す工程と、を含む。本開示の少なくとも一つの実施形態では、気体前駆体を流す工程は、のアルゴン、水素、およびNFを遠隔プラズマユニット内へ流す工程を含む。アルゴンの流量は、0.01〜20slm、0.1〜10slm、又は1〜8slmの範囲とすることができる。水素の流量は、10sccm〜1500slm、25〜1200slm、又は50sccm〜1000slmの範囲とすることができる。NFは、プラズマが遠隔プラズマ装置内で発生している間に、0.1〜120秒、1〜100秒、又は5〜80秒の範囲の特定の時間、流れることができる。
それによって、フッ素ラジカルを含むガスが遠隔プラズマユニット内で生成される。フッ素ラジカルは遠隔プラズマユニットから出て、任意の追加の前駆体ガスと、反応チャンバー内に配置される基材上で結合することができる。任意の追加の前駆体ガスは、10sccm〜1500slm、25〜1200slm、又は50sccm〜1000slmの範囲の流量で流れるアンモニアを含むことができる。酸化物変換工程は、以下のように酸化物を有するシリコンゲルマニウム基材上で酸化物との化学反応をもたらすことができる:
NH(g)+SiGeOx(s)→(NHSiF6(s)+(NHGeF6(s)+H(g)
酸化物変換工程の結果として、酸化物を、基材上で固体のアンモニウム−ヘキサフルオロシリケート化合物および固体のアンモニウム−ヘキサフルオロゲルマネート化合物に変換することができる。
本開示の少なくとも一つの実施形態では、酸化物昇華工程は、第一の加熱工程、第二の加熱工程、またはその両方を含む。第一の加熱工程は、基材を125℃超、100℃超、または90℃超の温度に加熱することを含むことができる。第一の工程410の結果として、以下の反応に従って固体のアンモニウムヘキサフルオロシリケート化合物を昇華させることができる。
(NHSiF6(s)→NH3(g)+HF(g)+SiF4(g)
その後、ガス状生成物を反応チャンバーから除去することができる。
第二の加熱工程は、基材を第一の加熱工程の温度よりも高い温度に加熱する工程を含むことができる。温度は、275℃超、250℃超、又は225℃超とすることができる。高い動作温度に達するために、反応チャンバーを加熱することなく高温シャワーヘッドを最高250℃〜300℃に加熱するように設計することができる。第二の工程の結果として、以下の反応に従って固体のアンモニウム−ヘキサフルオロゲルマネート化合物を昇華させることができる。
(NHGeF6(s)→NH3(g)+HF(g)+GeF4(g)
その後、ガス状生成物を反応チャンバーから除去することができる。
本開示の少なくとも一つの実施形態では、炭素除去は、水素前駆体および他の気体前駆体を遠隔プラズマユニット内に流す工程と、生成されたラジカルおよび任意の追加の前駆体を基材上に流す工程と、を含む。第一の加熱工程は、アルゴン、水素およびアンモニアを遠隔プラズマユニット内へ流す工程を含むことができる。ガスを、0.1〜180秒、1〜120秒、又は10〜90秒の範囲の時間、流すことができる。その結果、遠隔プラズマユニットにおいて水素ラジカルが発生する。
生成された水素ラジカルは、基材上の炭素系汚染物質と反応することができる。この工程は、25℃〜500℃、75℃〜400℃、または150℃〜300℃の温度で起こることができる。その結果、以下の反応に従って炭素を除去することができる。
(s)+H*(g)→Cy(g)
その後、ガス状生成物を反応チャンバーから除去することができる。
図示され説明された特定の実施形態は、本開示およびその最良の形態の例示であり、あるいは、態様および実施形態の範囲を決して限定することを意図するものではない。実際、簡潔さのために、従来の製造、関連、調製、およびシステムの他の機能的態様を詳細には説明しない場合がある。更に、様々な図に示される接続線は、様々な要素間の例示的な機能的関係及び/又は物理的結合を表すことを意図する。多くの代替的若しくは追加の機能的関係、若しくは物理的接続が実際のシステムに存在してもよく、かつ/又はいくつかの実施形態では存在しなくてもよい。
本明細書に記載される構成及び/又は取り組みは本質的に例示的であり、これらの特定の実施形態又は実施例は、数多くの変形が可能であるので、限定的な意味では考えられないことを理解されたい。本明細書に記載される特定のルーチン又は方法は、任意の数の処理方策のうちの一つ以上を表す場合がある。それゆえ、例示された様々な動作は、例示されるシーケンスで実施されてもよく、他のシーケンスで実施されてもよく、又は場合によっては省略されてもよい。
本開示の主題は、本明細書で開示される様々なプロセス、システム、および構成、ならびに他の特徴、機能、動作および/または特性の、全ての新規かつ自明でない組合せおよび部分的組合せ、ならびにその任意のおよび全ての均等物を含む。

Claims (20)

  1. 反応器システムであって、
    内表面を備える反応チャンバーと、
    前記反応チャンバーに連結する遠隔プラズマ源と、
    前記遠隔プラズマ源に連結する水素源と、を備え、
    前記内表面の少なくとも一部は、金属酸化物コーティングを備える、反応器システム。
  2. 前記金属酸化物は、酸化アルミニウムを含む、請求項1に記載の反応器システム。
  3. 前記コーティングは、原子層堆積を用いて形成される、請求項1に記載の反応器システム。
  4. 前記コーティングの厚さは、約100nm〜約750nmの範囲である、請求項1に記載の反応器システム。
  5. 前記コーティングは陽極酸化処理を用いて形成され、前記コーティングは非多孔質である、請求項1に記載の反応器システム。
  6. 前記コーティングの平均表面粗さは、約0.1μm〜約0.8μmの範囲である、請求項5に記載の反応器システム。
  7. 前記コーティングの厚さは、約100nm〜約1000nmの範囲である、請求項5に記載の反応器システム。
  8. ガス分配装置であって、
    頂部と、
    底部であって、それ自体を貫通して形成される複数の穴を有する底部と、
    それらの間のマニホールドプレートと、を備え、
    前記マニホールドプレートは、前記マニホールドプレートの中央領域から径方向に延在する複数のガスチャネルを備える、ガス分配装置。
  9. 前記マニホールドプレートは、約4〜約48のガスチャネルを備える、請求項8に記載のガス分配装置。
  10. 水素ラジカルを含むガスは、前記頂部の一部を通って受け入れられ、前記複数のガスチャネルを用いて前記頂部と前記穴との間の領域に分配される、請求項8に記載のガス分配装置。
  11. 前記穴の各々の直径は、約0.5mm〜約3mmの範囲である、請求項8に記載のガス分配装置。
  12. 前記チャネルの各々の直径は、約5mm〜約15mmの範囲である、請求項8に記載のガス分配装置。
  13. 前記マニホールドプレートは、金属と、金属酸化物でコーティングされる内表面と、を備える、請求項8に記載のガス分配装置。
  14. 前記マニホールドプレートは、一つまたは複数の留め具および溶接を用いて天板に結合される、請求項8に記載のガス分配装置。
  15. ガス分配装置であって、
    ガスを受け入れるための開口部を有する頂部と、
    底部であって、それ自体を貫通して形成される複数の穴を有し、中央領域と外側領域とを備える、底部と、
    前記頂部と前記底部との間に形成されるチャンバーと、を備え、
    前記外側領域の前記穴の直径は、前記中央領域の前記穴の直径よりも大きい、ガス分配装置。
  16. 前記中央領域内の前記穴の直径は、約0.5mm〜約1.5mmである、請求項15に記載のガス分配装置。
  17. 前記外側領域内の前記穴の直径は、約1mm〜約3mmである、請求項15に記載のガス分配装置。
  18. 前記表面の内側は金属酸化物でコーティングされる、請求項15に記載のガス分配装置。
  19. 前記複数の穴の密度は、前記中央領域における前記密度よりも前記外側領域において大きい、請求項15に記載のガス分配装置。
  20. 前記外側領域の周囲は、前記ガス分配システムを備える反応チャンバー内で処理される基材の周囲よりも大きい、請求項19に記載のガス分配装置。
JP2019083810A 2018-05-09 2019-04-25 水素ラジカルを使用するための装置およびその使用方法 Active JP7440215B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/974,948 2018-05-09
US15/974,948 US20190348261A1 (en) 2018-05-09 2018-05-09 Apparatus for use with hydrogen radicals and method of using same

Publications (2)

Publication Number Publication Date
JP2019205995A true JP2019205995A (ja) 2019-12-05
JP7440215B2 JP7440215B2 (ja) 2024-02-28

Family

ID=68465250

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019083810A Active JP7440215B2 (ja) 2018-05-09 2019-04-25 水素ラジカルを使用するための装置およびその使用方法

Country Status (5)

Country Link
US (1) US20190348261A1 (ja)
JP (1) JP7440215B2 (ja)
KR (1) KR20190128997A (ja)
CN (1) CN110473802A (ja)
TW (1) TWI810254B (ja)

Families Citing this family (249)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10950416B2 (en) 2018-11-16 2021-03-16 Mattson Technology, Inc. Chamber seasoning to improve etch uniformity by reducing chemistry
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR102225604B1 (ko) * 2019-12-18 2021-03-10 피에스케이 주식회사 기판 처리 장치
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230215702A1 (en) * 2021-12-30 2023-07-06 Applied Materials, Inc. Uniformity control for plasma processing using wall recombination

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004099972A (ja) * 2002-09-10 2004-04-02 Kyushu Mitsui Alum Kogyo Kk 陽極酸化処理用アルミニウム合金及びそれを用いたプラズマ処理装置
WO2008114753A1 (ja) * 2007-03-22 2008-09-25 Tokyo Electron Limited 基板載置台,基板処理装置,基板載置台の表面加工方法
JP2008303442A (ja) * 2007-06-08 2008-12-18 Kobe Steel Ltd プラズマ処理装置用部材およびその製造方法
JP2014049529A (ja) * 2012-08-30 2014-03-17 Tokyo Electron Ltd プラズマ処理装置及び金属の酸化膜を洗浄する方法
JP2018506859A (ja) * 2015-02-13 2018-03-08 インテグリス・インコーポレーテッド 基材物品および装置の特性および性能を増強するためのコーティング

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0296891B1 (en) * 1987-06-26 1996-01-10 Applied Materials, Inc. Process for self-cleaning of a reactor chamber
TW465017B (en) * 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP2002158219A (ja) 2000-09-06 2002-05-31 Sekisui Chem Co Ltd 放電プラズマ処理装置及びそれを用いた処理方法
JP3823037B2 (ja) 2001-09-27 2006-09-20 積水化学工業株式会社 放電プラズマ処理装置
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
EP1940620B1 (en) * 2005-10-20 2009-01-28 Agfa Graphics Nv Negative working, heat-sensitive lithographic printing plate precursor
US9484213B2 (en) * 2008-03-06 2016-11-01 Tokyo Electron Limited Processing gas diffusing and supplying unit and substrate processing apparatus
JP5665265B2 (ja) 2008-06-24 2015-02-04 東京エレクトロン株式会社 チャンバー部品を介してプロセス流体を導入する方法及びシステム
JP5268626B2 (ja) 2008-12-26 2013-08-21 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8721791B2 (en) * 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
KR102212369B1 (ko) 2012-08-23 2021-02-03 어플라이드 머티어리얼스, 인코포레이티드 Uv 챔버들을 세정하기 위한 방법 및 하드웨어
US9745658B2 (en) * 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
CN106104775B (zh) * 2014-01-31 2019-05-21 应用材料公司 腔室涂层
JP6240695B2 (ja) * 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10508339B2 (en) * 2017-05-31 2019-12-17 Applied Materials, Inc. Blocker plate for use in a substrate process chamber

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004099972A (ja) * 2002-09-10 2004-04-02 Kyushu Mitsui Alum Kogyo Kk 陽極酸化処理用アルミニウム合金及びそれを用いたプラズマ処理装置
WO2008114753A1 (ja) * 2007-03-22 2008-09-25 Tokyo Electron Limited 基板載置台,基板処理装置,基板載置台の表面加工方法
JP2008303442A (ja) * 2007-06-08 2008-12-18 Kobe Steel Ltd プラズマ処理装置用部材およびその製造方法
JP2014049529A (ja) * 2012-08-30 2014-03-17 Tokyo Electron Ltd プラズマ処理装置及び金属の酸化膜を洗浄する方法
JP2018506859A (ja) * 2015-02-13 2018-03-08 インテグリス・インコーポレーテッド 基材物品および装置の特性および性能を増強するためのコーティング

Also Published As

Publication number Publication date
TWI810254B (zh) 2023-08-01
CN110473802A (zh) 2019-11-19
JP7440215B2 (ja) 2024-02-28
TW202004943A (zh) 2020-01-16
US20190348261A1 (en) 2019-11-14
KR20190128997A (ko) 2019-11-19

Similar Documents

Publication Publication Date Title
JP7440215B2 (ja) 水素ラジカルを使用するための装置およびその使用方法
TWI794238B (zh) 於單一加工腔室中自半導體膜移除氧化物及碳之裝置及方法
US10916407B2 (en) Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US20210102292A1 (en) Reactor system including a gas distribution assembly for use with activated species and method of using same
US20200131634A1 (en) High temperature coatings for a preclean and etch apparatus and related methods
US9460898B2 (en) Plasma generation chamber with smooth plasma resistant coating
US9885117B2 (en) Conditioned semiconductor system parts
TWI692543B (zh) 賦予摻雜硼之碳膜靜電夾持及極佳粒子性能的漸變原位電荷捕捉層
US8394231B2 (en) Plasma process device and plasma process method
JP2019515505A (ja) プラズマ処理チャンバでのインシトゥチャンバ洗浄効率向上のためのプラズマ処理プロセス
JP2006128485A (ja) 半導体処理装置
US20180036775A1 (en) Aluminum fluoride mitigation by plasma treatment
JP2023065378A (ja) 基板及びチャンバ部品上への金属ケイ素化合物層の堆積
US20200140999A1 (en) Process chamber component cleaning method
US20210343509A9 (en) Conditioned semiconductor system parts
JP2023154412A (ja) ガス送達アセンブリおよびそれを備える反応器システム

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220411

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230118

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230123

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230424

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230707

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20231006

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231207

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20231225

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240122

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240215

R150 Certificate of patent or registration of utility model

Ref document number: 7440215

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150