TWI747312B - 半導體記憶裝置 - Google Patents

半導體記憶裝置 Download PDF

Info

Publication number
TWI747312B
TWI747312B TW109118786A TW109118786A TWI747312B TW I747312 B TWI747312 B TW I747312B TW 109118786 A TW109118786 A TW 109118786A TW 109118786 A TW109118786 A TW 109118786A TW I747312 B TWI747312 B TW I747312B
Authority
TW
Taiwan
Prior art keywords
contact
slit
memory device
semiconductor memory
bit line
Prior art date
Application number
TW109118786A
Other languages
English (en)
Other versions
TW202133397A (zh
Inventor
小池豪
Original Assignee
日商鎧俠股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商鎧俠股份有限公司 filed Critical 日商鎧俠股份有限公司
Publication of TW202133397A publication Critical patent/TW202133397A/zh
Application granted granted Critical
Publication of TWI747312B publication Critical patent/TWI747312B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/10Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0483Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells having several storage transistors connected in series
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/41Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region of a memory region comprising a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/10EEPROM devices comprising charge-trapping gate insulators characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region

Abstract

本發明的實施方式提供一種積體性高的半導體記憶裝置。實施方式的半導體記憶裝置包括:積層體,在第一方向上交替積層有導電層與絕緣層;多個柱狀體,在所述積層體內在所述第一方向上延伸,且包括半導體主體及設置在所述多個導電層中的至少一個與所述半導體主體之間的電荷蓄積膜;多條位元線,在所述積層體的上方沿與所述第一方向交叉的第二方向延伸;層間絕緣層,位於所述積層體與所述位元線之間;以及觸點,貫穿所述層間絕緣層,且所述觸點包括:第一觸點,連接於一個所述柱狀體;以及第二觸點,連接於多個所述柱狀體。

Description

半導體記憶裝置
本發明的實施方式是有關於一種半導體記憶裝置。
已知一種記憶體單元以三維積層而成的與非(NAND)型快閃記憶體。
本發明的實施方式提供一種積體性高的半導體記憶裝置。
實施方式的半導體記憶裝置包括積層體、多個柱狀體、多條位元線、層間絕緣層、及多個觸點。積層體在第一方向上交替積層有導電層與絕緣層。柱狀體在積層體內沿第一方向延伸。柱狀體具有半導體主體及電荷蓄積膜。電荷蓄積膜設置在多個導電層中的至少一個與半導體主體之間。多條位元線分別在積層體的上方沿與第一方向交叉的第二方向延伸。層間絕緣層位於積層體與多條位元線之間。多個觸點分別貫穿層間絕緣層。多個觸點(contact)具有連接於一個柱狀體的第一觸點、及連接於多個所述柱狀體的第二觸點。
1:半導體記憶體
2:記憶體控制器
10:記憶體單元陣列
11:列解碼器
12:感測放大器
13:定序器
20:積層體
20a:第一面
20b:第二面
21、21A、21B、21C:導電層
21a:區塊絕緣膜
21b:阻擋膜
22:絕緣層
30:柱狀體
30A:第一柱狀體
30B:第二柱狀體
30C:第三柱狀體
30D:第四柱狀體
31:芯
32:半導體主體
33:記憶體膜
34:隧道絕緣膜
35:電荷蓄積膜
36:蓋絕緣膜
40、41:層間絕緣層
51:犧牲膜
ADD:位址資訊
A1:第一區域
A2:第二區域
BL、BL0~BLm:位元線
BLK、BLK0~BLKn:區塊
BL1:第一位元線
BL2:第二位元線
BL3:第三位元線
BL4:第四位元線
CMD:指令
C1、C1':第一觸點
C2:第二觸點
DAT:寫入資料/讀出資料
F:指部
H1、H2:孔
MT、MT0~MT17:記憶體單元電晶體
MH:記憶體孔
NS:NAND串
ST:第一狹縫
ST1:導電體
ST2:被覆層
SHE:第二狹縫
SHE1、SHE2:絕緣體
STR、STR0~STR3:串
STRa:第一串
STRb:第二串
STRc:第三串
STRd:第四串
SL:源極線
SGD、SGD0~SGD3、SGS:選擇閘極線
S1:第一選擇電晶體
S2:第二選擇電晶體
Sub:基板
V1:層間連接點
WL、WL0~WL17:字線
圖1是表示第一實施方式的半導體記憶裝置的電路構成的框圖。
圖2是第一實施方式的半導體記憶裝置的記憶體單元陣列的電路圖。
圖3是第一實施方式的單元陣列區域的平面圖。
圖4是第一實施方式的單元陣列區域的剖面圖。
圖5是放大了第一實施方式的柱狀體附近的剖面圖。
圖6是將第一實施方式的柱狀體附近放大、並沿著導電層切斷的剖面圖。
圖7~圖12是用於說明第一實施方式的半導體記憶裝置的單元陣列區域的製造方法的一例的剖面圖。
圖13是第一變形例的單元陣列區域的平面圖。
以下,參照圖式對實施方式的半導體記憶裝置進行說明。在以下的說明中,對具有相同或類似功能的構成標注相同的符號。而且,存在省略該些構成的重覆說明的情況。圖式是示意性或概念性的圖式,各部分的厚度與寬度的關係、部分間的大小的比率等未必與現實情況相同。本說明書中,「連接」不限於物理連接的情況,亦包括電連接的情況。本說明書中的「在A方向上延伸」是指例如A方向的尺寸大於後述的X方向、Y方向以及Z方向的各尺寸中的最小尺寸。「A方向」是任意方向。
另外,首先對X方向、Y方向、Z方向進行定義。X方 向及Y方向是與後述的基板Sub的表面大致平行的方向。X方向是後述的狹縫延伸的方向。Y方向是與X方向交叉(例如大致正交)的方向。Z方向是與X方向及Y方向交叉(例如大致正交)且遠離基板Sub的方向。其中,該些表述是方便起見的稱呼,並非為規定重力方向者。在本實施方式中,Z方向是「第一方向」的一例,Y方向是「第二方向」的一例。
(第一實施方式)
圖1是表示半導體記憶體1的系統構成的框圖。半導體記憶體1是非揮發性半導體記憶裝置,例如是NAND型快閃記憶體。半導體記憶體1例如包括記憶體單元陣列10、列解碼器(row decoder)11、感測放大器(sense amplifier)12、及定序器(sequencer)13。
記憶體單元陣列10包括多個區塊BLK0~BLKn(n是1以上的整數)。區塊BLK是非揮發性的記憶體單元電晶體MT(參照圖2)的集合。記憶體單元陣列10中設置有多個位元線(bitline)及多個字線(word line)。各記憶體單元電晶體MT連接於1條位元線與1條字線。關於記憶體單元陣列10的詳細構成將後述。
列解碼器11基於自外部的記憶體控制器2接收到的位址資訊ADD,選擇一個區塊BLK。列解碼器11藉由對多個字線分別施加所需的電壓而控制資料對記憶體單元陣列10的寫入動作及讀出動作。
感測放大器12根據自記憶體控制器2接收到的寫入資 料DAT而對各位元線施加所需的電壓。感測放大器12基於位元線的電壓來判定記憶體單元電晶體MT中所記憶的資料,並將所判定的讀出資料DAT發送至記憶體控制器2。
定序器13基於自記憶體控制器2接收到的指令CMD,控制半導體記憶體1整體的運作。
以上說明的半導體記憶體1以及記憶體控制器2可藉由該些的組合而構成一個半導體裝置。半導體裝置例如可列舉如保全數位(Secure Digital,SD)(註冊商標)卡般的記憶體卡、或固體狀態驅動機(Solid State Drive,SSD)等。
繼而,對記憶體單元陣列10的電性構成進行說明。
圖2是表示記憶體單元陣列10的等效電路的圖,抽取一個區塊BLK來示出。區塊BLK包括多個(例如,四個)串(string)STR0~STR3。
各串STR0~串STR3是多個NAND串NS的集合體。各NAND串NS的一端連接於位元線BL0~位元線BLm(m為1以上的整數)中的任一者。NAND串NS的另一端連接於源極線SL。各NAND串NS包括多個(例如18個)記憶體單元電晶體MT0~MT17、第一選擇電晶體S1、及第二選擇電晶體S2。
多個記憶體單元電晶體MT0~MT17彼此電性串聯連接。記憶體單元電晶體MT包括控制閘極及電荷蓄積膜,且非揮發地記憶資料。記憶體單元電晶體MT根據施加至控制閘極的電壓而將電荷蓄積在電荷蓄積膜中。記憶體單元電晶體MT的控制 閘極連接於對應的字線WL0~字線WL17中的任一者。記憶體單元電晶體MT經由字線WL而與列解碼器11電性連接。
各NAND串NS的第一選擇電晶體S1連接於多個記憶體單元電晶體MT0~MT17與任一位元線BL0~位元線BLm之間。第一選擇電晶體S1的汲極連接於任一位元線BL0~位元線BLm。第一選擇電晶體S1的源極連接於記憶體單元電晶體MT17。各NAND串NS中的第一選擇電晶體S1的控制閘極連接於任一選擇閘極線SGD0~選擇閘極線SGD3。第一選擇電晶體S1經由選擇閘極線SGD而與列解碼器11電性連接。第一選擇電晶體S1在對選擇閘極線SGD0~選擇閘極線SGD3中的任一者施加有既定電壓的情況下,連接NAND串NS與位元線BL。
各NAND串NS上的第二選擇電晶體S2連接於多個記憶體單元電晶體MT0~MT17與源極線SL之間。第二選擇電晶體S2的汲極連接於記憶體單元電晶體MT0。第二選擇電晶體S2的源極連接於源極線SL。第二選擇電晶體S2的控制閘極連接於選擇閘極線SGS。第二選擇電晶體S2經由選擇閘極線SGS而與列解碼器11電性連接。第二選擇電晶體S2在對選擇閘極線SGS施加有既定電壓的情況下,連接NAND串NS與源極線SL。
繼而,說明記憶體單元陣列10的結構。記憶體單元陣列10具有單元陣列區域與周邊區域。在單元陣列區域中積體NAND串NS。在周邊區域中配置控制單元陣列區域的周邊電路。周邊區域可在單元陣列區域的X方向或Y方向上鄰接,亦可相對 於單元陣列區域在Z方向上積層。
圖3是記憶體單元陣列10的單元陣列區域的特徵部分的平面圖。圖4是記憶體單元陣列10的單元陣列區域的剖面圖。圖3是俯視積層體20的一面的圖,用虛線表示位於積層體20的Z方向上方的位元線BL。圖4是沿著圖3的A-A線切斷單元陣列區域的剖面圖。
單元陣列區域具有基板Sub、源極線SL、積層體20、多個柱狀體30、層間絕緣層40、層間絕緣層41、第一觸點C1、第二觸點C2、層間連接點(via)V1、及多個位元線BL。
基板Sub例如是矽基板。在基板Sub上例如形成記憶體單元陣列10的單元陣列區域及周邊區域。源極線SL配置在基板Sub上。源極線SL包含導電體或半導體。源極線SL例如是p型半導體。源極線SL在X方向及Y方向上擴展。
積層體20在Z方向上具有多個導電層21及多個絕緣層22。導電層21與絕緣層22交替地積層。多個導電層21分別在X方向及Y方向上擴展。多個絕緣層22分別在X方向及Y方向上擴展。導電層21例如為金屬或半導體。導電層21例如是鎢、摻雜有雜質的多晶矽。導電層21的數量為任意。
導電層21例如在功能上分為3個。導電層21A位於多個導電層21之中最靠近源極線SL處。導電層21A可為多層。導電層21A例如作為與第二選擇電晶體S2相連的選擇閘極線SGS發揮功能。多個導電層21中導電層21B繼導電層21A之後位於源 極線SL的附近。導電層21B分別連接於字線WL。導電層21B作為記憶體單元電晶體MT的閘極電極發揮功能。導電層21C是多個導電層21中除去導電層21A、導電層21B後的層。導電層21C是例如自積層體20的上部起的數層的導電層。導電層21C例如作為與第一選擇電晶體S1相連的選擇閘極線SGD發揮功能。
絕緣層22位於導電層21與源極線SL之間以及在Z方向上相鄰的導電層21之間。絕緣層22例如包含矽氧化物。絕緣層22將鄰接的導電層21之間絕緣。絕緣層22的數量由導電層21的數量決定。
積層體20在自Z方向的俯視下具有多個狹縫。狹縫在Y方向上區分積層體20。狹縫在X方向上延伸。狹縫包括第一狹縫ST及第二狹縫SHE。第一狹縫ST是深狹縫,第二狹縫SHE是淺狹縫。將由自Z方向的俯視下鄰接的兩個第一狹縫ST界定的區域稱為指部(finger)F,將由自Z方向的俯視下鄰接的第一狹縫ST與第二狹縫SHE、或者鄰接的兩個第二狹縫SHE界定的區域稱為串STR。將串STR中由鄰接的第一狹縫ST與第二狹縫SHE界定的區域稱為第一串STRa,將由鄰接的兩個第二狹縫SHE界定的區域稱為串STRb。不論在一個指部F中包含的串STR的數量如何,串STR的數量例如是奇數。
第一狹縫ST自積層體20的第一面20a到達第二面20b。第一面20a是積層體20的位元線BL側的面,第二面20b是積層體20的基板Sub側的面。第一狹縫ST例如貫穿積層體20。 在第一狹縫ST內,自內側起依次例如具有導電體ST1及被覆層ST2。導電體ST1是與源極線SL相連的配線。導電體ST1例如是鎢。被覆層ST2是絕緣體。被覆層ST2例如防止導電體ST1與導電層21A、導電層21B、導電層21C的短路。
第二狹縫SHE自積層體20的第一面20a到達積層體20的Z方向的中途。第二狹縫SHE至少將導電層21C在Y方向上分斷。在第二狹縫SHE內具有絕緣體SHE1及第二觸點C2。第二觸點C2位於絕緣體SHE1上。絕緣體SHE1在Y方向上將導電層21C電性分斷。絕緣體SHE1例如包含矽氧化物。
在積層體20內存在多個柱狀體30。柱狀體30分別沿Z方向延伸。柱狀體30例如分別在Z方向上貫穿積層體20。多個柱狀體30例如在自Z方向的俯視下在Y方向上呈鋸齒狀(zigzag)排列。在各個串STR中在Y方向上呈鋸齒狀排列的柱狀體30的數量例如相同。圖3所示的串STR中,分別為四個柱狀體30在Y方向上呈鋸齒狀排列。在自Z方向的俯視下,柱狀體30例如為圓或橢圓。與第二狹縫SHE在Y方向上鄰接的柱狀體30例如接觸第二狹縫SHE。在自Z方向的俯視下,與第二狹縫SHE在Y方向上鄰接的柱狀體30例如為將圓或橢圓的一部分切缺而成的形狀。根據第二狹縫SHE的寬度足夠窄的情況、柱狀體30的加工偏差,亦存在柱狀體30與第二狹縫SHE不接觸的情況。
圖5是放大了第一實施方式的柱狀體30的附近的剖面圖。圖6是將第一實施方式的柱狀體30的附近沿導電層21切斷 的剖面圖。圖5是在YZ面將柱狀體30切斷的剖面,圖6是在XY面將柱狀體30切斷的剖面。柱狀體30處於積層體20內形成的記憶體孔MH內。
柱狀體30分別具有芯31、半導體主體32、記憶體膜33。在記憶體孔MH內,自內側起依次包括芯31、半導體主體32、記憶體膜33。
芯31在Z方向上延伸,且為柱狀。芯31例如包括矽氧化物。芯31位於半導體主體32的內側。
半導體主體32在Z方向上延伸。半導體主體32是有底的筒狀。半導體主體32包覆芯31的外側面。半導體主體32例如包括矽。矽是例如使非晶矽結晶化的多晶矽。半導體主體32是第一選擇電晶體S1、記憶體單元電晶體MT及第二選擇電晶體S2各者的通道。通道是源極側與汲極側之間的載波的流路。
記憶體膜33在Z方向上延伸。記憶體膜33包覆半導體主體32的外側面。記憶體膜33位於記憶體孔MH的內表面與半導體主體32的外側面之間。記憶體膜33例如包括隧道絕緣膜34、電荷蓄積膜35以及蓋絕緣膜36。隧道絕緣膜34、電荷蓄積膜35、蓋絕緣膜36依序位於半導體主體32的附近。
隧道絕緣膜34位於電荷蓄積膜35與半導體主體32之間。隧道絕緣膜34例如包含矽氧化物、或者矽氧化物與矽氮化物。隧道絕緣膜34是半導體主體32與電荷蓄積膜35之間的電位障壁。
電荷蓄積膜35位於各導電層21及絕緣層22與隧道絕 緣膜34之間。電荷蓄積膜35例如包括矽氮化物。電荷蓄積膜35與多個導電層21的各者交叉的部分分別作為電晶體發揮功能。藉由電荷蓄積膜35與多個導電層21交叉的部分(電荷蓄積部)內的電荷的有無、或所蓄積的電荷量,記憶體單元電晶體MT保持資料。電荷蓄積部位於各個導電層21與半導體主體32之間,並且周圍由絕緣材料包圍。
蓋絕緣膜36例如位於各個絕緣層22與電荷蓄積膜35之間。蓋絕緣膜36例如包含矽氧化物。蓋絕緣膜36在加工時保護電荷蓄積膜35不被蝕刻。蓋絕緣膜36可不存在,亦可在導電層21與電荷蓄積膜35之間殘留一部分,作為區塊絕緣膜使用。
另外,如圖5及圖6所示,亦可在各個導電層21與絕緣層22之間、以及各個導電層21與記憶體膜33之間具有區塊絕緣膜21a、阻擋膜21b。區塊絕緣膜21a抑制反向穿隧(back-tunneling)。反向穿隧是自導電層21向記憶體膜33的電荷返回的現象。阻擋膜21b提高導電層21與區塊絕緣膜21a之間的密接性。區塊絕緣膜21a是例如氧化矽膜、金屬氧化物膜、多個絕緣膜積層而成的積層結構膜。金屬氧化物的一個例子是鋁氧化物。例如在導電層21是鎢的情況下,阻擋膜21b作為一例是氮化鈦、氮化鈦與鈦的積層結構膜。
層間絕緣層40、層間絕緣層41位於積層體20的最上層的絕緣層22上。層間絕緣層40、層間絕緣層41將積層體20與位元線BL之間絕緣。層間絕緣層40、層間絕緣層41例如包含矽氧 化物。
第一觸點C1及第二觸點C2是將柱狀體30與位元線BL電性相連的接觸插塞(contact plug)。第一觸點C1及第二觸點C2位於層間絕緣層40內。第一觸點C1及第二觸點C2在Z方向上貫穿層間絕緣層40。第二觸點C2自積層體20的第一面20a向積層體20的內部突出。第一觸點C1及第二觸點C2是填充在層間絕緣層40中形成的開口內的導電體。第一觸點C1及第二觸點C2例如為鎢。
第一觸點C1連接於一個柱狀體30。第二觸點C2連接於多個柱狀體30。第二觸點C2例如連接於兩個柱狀體30。
在自Z方向的俯視下,第一觸點C1與第二觸點C2的形狀不同。在自Z方向的俯視下,第一觸點C1例如為大致圓形,第二觸點C2例如為橢圓或長方形。第一觸點C1的周長例如較第二觸點C2的周長短。第二觸點C2例如在自Z方向的俯視下具有各向異性,具有長軸及短軸。第二觸點C2的長軸例如相對於Y方向傾斜。圖3的A-A線與第二觸點C2的長軸方向一致。例如,在同一指部F內,第二觸點C2的長軸相對於Y方向的傾斜方向可相同,第二觸點C2的長軸相對於Y方向的傾斜角可相等。
第二觸點C2例如跨越夾持第二狹縫SHE的多個柱狀體30。第二觸點C2例如與夾持第二狹縫SHE的兩個柱狀體30接觸。在第一串STRa及第二串STRb中,與第二狹縫SHE在Y方向上鄰接的柱狀體30連接於第二觸點C2,其它柱狀體30連接於第一 觸點C1。例如,第一串STRa中的第一觸點C1的數量較第二串STRb中的第一觸點C1的數量多。
層間連接點V1位於層間絕緣層41內。層間連接點V1在Z方向上貫穿層間絕緣層41。層間連接點V1是填充在層間絕緣層41中形成的開口內的導電體。層間連接點V1例如為鎢。
層間連接點V1將第一觸點C1或第二觸點C2與位元線BL電性相連。層間連接點V1將一個第一觸點C1或第二觸點C2與一條位元線BL電性相連。對第一觸點C1及第二觸點C2分別連接一個層間連接點V1。
在自Z方向的俯視下,層間連接點V1較第一觸點C1及第二觸點C2小,且包含在第一觸點C1或第二觸點C2中。層間連接點V1的幾何中心分別例如偏離第一觸點C1的幾何中心或第二觸點C2的幾何中心。
與第二觸點C2連接的層間連接點V1連接於第二觸點C2的第一區域A1或第二區域A2。第一區域A1是夾持穿過第二觸點C2的幾何中心並在短軸方向延伸的中心線的其中一個區域,第二區域A2是另一個區域。例如,在Y方向上鄰接的兩個第二觸點C2中,其中一個第二觸點C2於第一區域A1連接層間連接點V1,另一個第二觸點C2於第二區域A2連接層間連接點V1。
在層間絕緣層41上存在多條位元線BL。位元線BL分別在Y方向上延伸。位元線BL經由第一觸點C1與層間連接點 V1、或第二觸點C2與層間連接點V1,而與柱狀體30電性連接。各個位元線BL與串STR中的一個柱狀體30電性連接。
此處,在各串STR中,將在Y方向上呈鋸齒狀排列的柱狀體30分別稱為第一柱狀體30A、第二柱狀體30B、第三柱狀體30C、第四柱狀體30D。在各串STR中,第一柱狀體30A、第二柱狀體30B、第三柱狀體30C、第四柱狀體30D依序在Y方向上排列。另外,將與第一柱狀體30A、第二柱狀體30B、第三柱狀體30C、第四柱狀體30D的任一個電性連接的位元線BL分別稱為第一位元線BL1、第二位元線BL2、第三位元線BL3、第四位元線BL4。第一位元線BL1、第二位元線BL2、第三位元線BL3、第四位元線BL4依序在-X方向上排列。
在某一串STR(例如,第一串STRa)中,第一柱狀體30A與第一位元線BL1電性連接,第二柱狀體30B與第三位元線BL3電性連接,第三柱狀體30C與第二位元線BL2電性連接,第四柱狀體30D與第四位元線BL4電性連接。
另一方面,在與該串STR(例如,第一串STRa)鄰接的串(例如,第二串STRb)中,第一柱狀體30A與第四位元線BL4電性連接,第二柱狀體30B與第三位元線BL3電性連接,第三柱狀體30C與第二位元線BL2電性連接,第四柱狀體30D與第一位元線BL1電性連接。
即,連接於第一柱狀體30A及第四柱狀體30D的位元線BL在鄰接的串STR中不同。這是因為第二觸點C2連接於夾持 第二狹縫SHE的兩個柱狀體30。
繼而,對第一實施方式的半導體記憶體1的單元陣列區域的部分的製造方法進行說明。以下的圖7~圖12是用於說明單元陣列區域的製造方法的一例的剖面圖。
首先如圖7所示,在基板Sub上積層源極線SL。繼而,在源極線SL上交替積層絕緣層22與犧牲膜51。絕緣層22如上所述並且例如包括矽氧化物。犧牲膜51例如包含矽氮化物。繼而,在積層體上形成記憶體孔MH。記憶體孔MH自積層體的上表面到達至源極線SL。記憶體孔MH藉由蝕刻來製作。例如,自積層體的上表面至源極線SL進行各向異性蝕刻。各向異性蝕刻例如是反應性離子蝕刻(reactive ion etching,RIE)。
繼而,如圖8所示,在記憶體孔MH內依次形成記憶體膜33、半導體主體32、芯31。記憶體孔MH被記憶體膜33、半導體主體32及芯31填充。在記憶體孔MH內形成柱狀體30。繼而,在積層體上積層層間絕緣層40之後,形成第一狹縫ST。第一狹縫ST自積層體的上表面延伸至源極線SL。第一狹縫ST藉由各向異性蝕刻形成。
繼而,經由第一狹縫ST對犧牲膜51進行等方性蝕刻。藉由等方性蝕刻去除犧牲膜51。等方性蝕刻使用能夠相對於絕緣層22更快地蝕刻犧牲膜51的蝕刻劑來進行。等方性蝕刻例如使用能夠相對於矽氧化物更快地蝕刻矽氮化物的蝕刻劑來進行。除去了犧牲膜51的部分被導電材料填充,形成導電層21。然後,如 圖9所示,在第一狹縫ST內依次形成被覆層ST2、導電體ST1。
繼而,如圖10所示,在積層體20內形成第二狹縫SHE。第二狹縫SHE自積層體20的上表面延伸至積層體20的中途。第二狹縫SHE藉由各向異性蝕刻形成。
繼而,如圖11所示,用絕緣體SHE2填充第二狹縫SHE。絕緣體SHE2例如包含矽氧化物。
繼而,如圖12所示,在層間絕緣層40形成孔H1、孔H2。孔H1貫穿層間絕緣層40並到達柱狀體30。孔H2貫穿層間絕緣層40並到達積層體20的中途。絕緣體SHE2藉由各向異性蝕刻除去一部分,成為絕緣體SHE1。
繼而,用導電材料填充孔H1、孔H2,形成第一觸點C1、第二觸點C2。在層間絕緣層40、第一觸點C1以及第二觸點C2上積層層間絕緣層41。在層間絕緣層41上形成開口,並且用導電材料填充開口,形成層間連接點V1。最後,在與層間連接點V1重疊的位置形成位元線BL。
藉由以上的步驟,製作圖4所示的單元陣列區域。此處所示的製造步驟為一個例子,亦可在各步驟之間插入其它步驟。
根據第一實施方式的半導體記憶體1,記憶體單元電晶體MT的積體性提高。第一實施方式的半導體記憶體1的任意的柱狀體30並非虛設,可作為記錄區域發揮功能。即,作為記憶區域發揮功能的柱狀體30緊密排列,從而第一實施方式的半導體記憶體1的積體性優異。另外,第二觸點C2連接於不同的串STR 的兩個柱狀體30,藉此層間連接點V1的數量減少。當在各個柱狀體30上各形成一個觸點時,在夾持第二狹縫SHE的兩個柱狀體30中層間連接點V1的間隔容易接近。藉由減少在層間連接點V1的間隔接近的部分的層間連接點V1的數量,可降低由於製造誤差而導致層間連接點V1彼此短路的可能性。
(第一變形例)
繼而,說明實施方式的第一變形例。
圖13是第一實施方式的第一變形例的單元陣列區域的特徵部分的平面圖。第一變形例的單元陣列區域與圖3所示的結構的不同之處在於,一個指部F內的串STR的數目是偶數。除了以下說明之外的構成與第一實施方式的記憶體單元陣列10相同。
第一變形例的指部F具有偶數個串STR。圖13所示的指部F包括兩個串STR。在串STR是偶數的情況下,任意的串STR在Y方向上的寬度與其他串STR在Y方向上的寬度不同。在圖13所示的例子中,一個串STR(以下稱為第三串STRc)在Y方向上的寬度較另一個串STR(以下稱為第四串STRd)在Y方向上的寬度短。
如上所述,各條位元線BL與串STR中的不同柱狀體30電性連接。在第三串STRc中,第一柱狀體30A與第一位元線BL1電性連接,第二柱狀體30B與第三位元線BL3電性連接,第三柱狀體30C與第二位元線BL2電性連接,第四柱狀體30D與第四位元線BL4電性連接。
第二觸點C2連接於第四串STRd的第四柱狀體30D與第三串STRc的第一柱狀體。因此,第四串STRd的第四柱狀體30D與第一位元線BL1電性連接。同一串STR內的柱狀體30連接於不同的位元線BL。因此,在第四串STRd中,第四柱狀體30D與第一位元線BL1電性連接,第三柱狀體30C與第二位元線BL2電性連接,第二柱狀體30B與第三位元線BL3電性連接,第一柱狀體30A與第四位元線BL4電性連接。
在第一柱狀體30A的正上方不存在第四位元線BL4。為了將第一柱狀體30A與第四位元線BL4電性相連,與第四串STRd的第一柱狀體30A相連的第一觸點C1在X方向及Y方向上延伸。以下,將第一觸點C1中自Z方向的俯視形狀與其他第一觸點C1不同者稱為第一觸點C1'。第一觸點C1'在Z方向上與第一柱狀體30A及層間連接點V1重疊。為了防止第一觸點C1'與第一狹縫ST內的導電體ST1的短路,第一觸點C1'與第一狹縫ST分開一定距離以上。其結果,第三串STRc在Y方向上的寬度與第四串STRd在Y方向上的寬度不同。
雖然需要確保用於形成第一觸點C1'的空間,但是藉由此種構成,亦與第一實施方式同樣,記憶體單元電晶體MT的積體性提高。
(附記)
以下,對幾個半導體記憶裝置進行附記。
[1].一種半導體記憶裝置,包括: 積層體,在第一方向上交替積層有導電層與絕緣層;多個柱狀體,在所述積層體內沿所述第一方向延伸,且包括半導體主體及設置在所述多個導電層中的至少一個與所述半導體主體之間的電荷蓄積膜;多條位元線,在所述積層體的上方沿與所述第一方向交叉的第二方向延伸;層間絕緣層,位於所述積層體與所述多條位元線之間;以及多個觸點,貫穿所述層間絕緣層,且所述多個觸點包括:第一觸點,與一個所述柱狀體連接;以及第二觸點,與多個所述柱狀體連接。
[2].在如[1]所述的半導體記憶裝置中,所述第一觸點的俯視形狀與所述第二觸點的俯視形狀不同,所述第二觸點的俯視形狀可具有各向異性。
[3].在如[2]所述的半導體記憶裝置中,所述第一觸點的俯視形狀可以是圓形,所述第二觸點的俯視形狀可以是橢圓或長方形。
[4].在如[2]所述的半導體記憶裝置中,所述第一觸點的周長可較所述第二觸點的周長短。
[5].在如[2]所述的半導體記憶裝置中,所述第二觸點的長軸可相對於所述第二方向傾斜。
[6].在如[1]所述的半導體記憶裝置中,在自所述第一方向的俯視下,所述積層體具有在所述第二方 向上劃分所述積層體的多個狹縫,所述多個狹縫具有:第一狹縫,自所述積層體的所述位元線側的第一面到達與所述第一面相反的第二面;以及第二狹縫,自所述第一面到達所述積層體的中途,所述第二觸點可跨越夾持所述第二狹縫的多個所述柱狀體。
[7].在如[6]所述的半導體記憶裝置的由自所述第一方向的俯視下鄰接的所述第一狹縫夾持的指部中,所述第二觸點的長軸相對於所述第二方向的傾斜角可相等。
[8].在如[6]所述的半導體記憶裝置中,連接於所述第二觸點的所述柱狀體可與所述第二狹縫接觸。
[9].在如[6]所述的半導體記憶裝置中,由自所述第一方向的俯視下鄰接的所述第一狹縫夾持的指部藉由所述第二狹縫而劃分成多個串,所述多個串的數量可為奇數。
[10].在如[6]所述的半導體記憶裝置中,由自所述第一方向的俯視下鄰接的所述第一狹縫夾持的指部藉由所述第二狹縫而劃分成多個串,在自所述第一方向的俯視下,所述多個串具有被所述第一狹縫與所述第二狹縫夾持的第一串、以及被鄰接的兩個所述第二狹縫夾持的第二串,所述第一串的第一觸點的數量可較所述第二串更多。
[11].如[1]所述的半導體記憶裝置更包括:將所述第二觸點與所述位元線相連的層間連接點, 在自所述第一方向的俯視下,所述層間連接點小於所述第二觸點,所述層間連接點的幾何中心可偏離所述第二觸點的幾何中心。
[12].在如[11]所述的半導體記憶裝置中,所述第二觸點在自所述第一方向的俯視下具有各向異性,所述第二觸點被劃分為夾持通過所述第二觸點的幾何中心並沿短軸方向延伸的中心線的第一區域與第二區域,在所述第二方向上鄰接的兩個所述第二觸點中,一個所述第二觸點可在所述第一區域連接所述層間連接點,另一個所述第二觸點可在所述第二區域連接所述層間連接點。
[13].在如[1]所述的半導體記憶裝置中,所述第二觸點可自所述積層體的所述位元線側的第一面朝向所述積層體的內部突出。
[14].在如[1]所述的半導體記憶裝置中,所述第二觸點可連接於兩個所述柱狀體。雖然對本發明的幾個實施方式進行了說明,但是該些實施方式是作為例子提示,並不意圖限定發明的範圍。該些實施方式能夠以其他各種方式來實施,並且在不脫離發明主旨的範圍內能夠進行各種省略、替換、變更。該些實施方式及其變形包含在發明的範圍及主旨中,同樣亦包含在申請專利範圍所記載的發明及其均等的範圍內。
[相關申請案]
本申請案享有以日本專利申請案2020-29725號(申請日:2020年2月25日)為基礎申請案的優先權。本申請案藉由參照該基礎申請案而包括基礎申請案的全部內容。
30:柱狀體
30A:第一柱狀體
30B:第二柱狀體
30C:第三柱狀體
30D:第四柱狀體
A1:第一區域
A2:第二區域
BL:位元線
BL1:第一位元線
BL2:第二位元線
BL3:第三位元線
BL4:第四位元線
C1:第一觸點
C2:第二觸點
F:指部
ST:第一狹縫
STR:串
STRa:第一串
STRb:第二串
SHE:第二狹縫
V1:層間連接點

Claims (10)

  1. 一種半導體記憶裝置,包括:積層體,在第一方向上交替積層有導電層與絕緣層;多個柱狀體,在所述積層體內沿所述第一方向延伸,且包括半導體主體及設置在多個所述導電層中的至少一個與所述半導體主體之間的電荷蓄積膜;多條位元線,在所述積層體的上方沿與所述第一方向交叉的第二方向延伸;第一觸點,與所述多條位元線中的第一位元線接觸,且與包含於一個所述柱狀體中的一個所述半導體主體接觸;以及第二觸點,與所述多條位元線中的第二位元線接觸,且與包含於多個所述柱狀體中的多個所述半導體主體中的每一個接觸。
  2. 如請求項1所述的半導體記憶裝置,其中所述第一觸點的俯視形狀與所述第二觸點的俯視形狀不同,所述第二觸點的俯視形狀具有各向異性。
  3. 如請求項1所述的半導體記憶裝置,其中在自所述第一方向的俯視下,所述積層體具有在所述第二方向上劃分所述積層體的多個狹縫,所述多個狹縫具有:第一狹縫,自所述積層體的所述位元線側的第一面到達與所述第一面相反的第二面;以及第二狹縫,自所述第一面到達所述積層體的中途,所述第二觸點跨越夾持所述第二狹縫的多個所述柱狀體。
  4. 如請求項3所述的半導體記憶裝置,其中所述第二觸點的俯視形狀具有各向異性,在被自所述第一方向的俯視下鄰接的所述第一狹縫夾持的指部中,所述第二觸點的長軸相對於所述第二方向的傾斜角相等。
  5. 如請求項3所述的半導體記憶裝置,其中連接於所述第二觸點的所述柱狀體與所述第二狹縫接觸。
  6. 如請求項3所述的半導體記憶裝置,其中被自所述第一方向的俯視下鄰接的所述第一狹縫夾持的指部藉由所述第二狹縫而區分為多個串,所述多個串的數量是奇數。
  7. 如請求項3所述的半導體記憶裝置,其中被自所述第一方向的俯視下鄰接的所述第一狹縫夾持的指部藉由所述第二狹縫而區分為多個串,在自所述第一方向的俯視下,所述多個串具有被所述第一狹縫與所述第二狹縫夾持的第一串、以及被鄰接的兩個所述第二狹縫夾持的第二串,所述第一串比所述第二串具有數量較多的所述第一觸點。
  8. 如請求項1至請求項7中任一項所述的半導體記憶裝置,更包括將所述第二觸點與所述位元線連結的層間連接點,所述第二觸點在自所述第一方向的俯視下具有各向異性,所述第二觸點被劃分為夾持通過所述第二觸點的幾何中心並沿短軸方向延伸的中心線的第一區域與第二區域, 在所述第二方向上鄰接的兩個所述第二觸點中,一個所述第二觸點在所述第一區域連接有所述層間連接點,另一個所述第二觸點在所述第二區域連接有所述層間連接點。
  9. 如請求項1至請求項7中任一項所述的半導體記憶裝置,其中所述第二觸點自所述積層體的所述位元線側的第一面朝向所述積層體的內部突出。
  10. 如請求項1至請求項7中任一項所述的半導體記憶裝置,其中所述第二觸點連接於兩個所述柱狀體。
TW109118786A 2020-02-25 2020-06-04 半導體記憶裝置 TWI747312B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2020-029725 2020-02-25
JP2020029725A JP2021136279A (ja) 2020-02-25 2020-02-25 半導体記憶装置

Publications (2)

Publication Number Publication Date
TW202133397A TW202133397A (zh) 2021-09-01
TWI747312B true TWI747312B (zh) 2021-11-21

Family

ID=77366440

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109118786A TWI747312B (zh) 2020-02-25 2020-06-04 半導體記憶裝置

Country Status (4)

Country Link
US (1) US11778820B2 (zh)
JP (1) JP2021136279A (zh)
CN (1) CN113380808B (zh)
TW (1) TWI747312B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2023043399A (ja) * 2021-09-16 2023-03-29 キオクシア株式会社 半導体記憶装置及び半導体記憶装置の製造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190074292A1 (en) * 2009-07-06 2019-03-07 Samsung Electronics Co., Ltd. Vertical non-volatile memory device, method of fabricating the same device, and electric-electronic system having the same device
CN109786390A (zh) * 2017-11-13 2019-05-21 萨摩亚商费洛储存科技股份有限公司 三维储存元件及其制造方法
TW201941410A (zh) * 2018-03-22 2019-10-16 日商東芝記憶體股份有限公司 半導體記憶體及其製造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6611010B2 (en) * 1999-12-03 2003-08-26 Kabushiki Kaisha Toshiba Semiconductor device
KR20130044711A (ko) * 2011-10-24 2013-05-03 에스케이하이닉스 주식회사 3차원 불휘발성 메모리 소자와, 이를 포함하는 메모리 시스템과, 그 제조방법
KR102393976B1 (ko) * 2015-05-20 2022-05-04 삼성전자주식회사 반도체 메모리 소자
US9899399B2 (en) * 2015-10-30 2018-02-20 Sandisk Technologies Llc 3D NAND device with five-folded memory stack structure configuration
US10128264B2 (en) * 2016-01-21 2018-11-13 SK Hynix Inc. Semiconductor device
US10090319B2 (en) * 2016-03-08 2018-10-02 Toshiba Memory Corporation Semiconductor device and method for manufacturing the same
US10546871B2 (en) * 2016-03-23 2020-01-28 Toshiba Memory Corporation Semiconductor memory device and method of manufacturing the same
US9871054B2 (en) * 2016-04-15 2018-01-16 Toshiba Memory Corporation Semiconductor device and method for manufacturing same
JP2019169539A (ja) * 2018-03-22 2019-10-03 東芝メモリ株式会社 半導体記憶装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190074292A1 (en) * 2009-07-06 2019-03-07 Samsung Electronics Co., Ltd. Vertical non-volatile memory device, method of fabricating the same device, and electric-electronic system having the same device
CN109786390A (zh) * 2017-11-13 2019-05-21 萨摩亚商费洛储存科技股份有限公司 三维储存元件及其制造方法
TW201941410A (zh) * 2018-03-22 2019-10-16 日商東芝記憶體股份有限公司 半導體記憶體及其製造方法

Also Published As

Publication number Publication date
TW202133397A (zh) 2021-09-01
US20210265387A1 (en) 2021-08-26
CN113380808B (zh) 2024-02-13
CN113380808A (zh) 2021-09-10
JP2021136279A (ja) 2021-09-13
US11778820B2 (en) 2023-10-03

Similar Documents

Publication Publication Date Title
TWI670833B (zh) 半導體裝置
TWI718588B (zh) 半導體記憶裝置及其製造方法
US10734406B2 (en) Semiconductor memory device and method of manufacturing the same
US11081494B2 (en) Semiconductor memory
CN111370425A (zh) 半导体存储器装置及制造半导体存储器装置的方法
CN111599821B (zh) 半导体存储装置及其制造方法
CN112420726B (zh) 半导体存储装置
US20200075615A1 (en) Semiconductor memory device
CN113270417B (zh) 半导体存储装置
TWI747312B (zh) 半導體記憶裝置
TWI714211B (zh) 半導體記憶裝置
CN112310090B (zh) 半导体存储装置及其制造方法
CN112310093B (zh) 半导体存储装置
TWI760060B (zh) 半導體記憶裝置
TWI821718B (zh) 半導體記憶裝置
CN217955859U (zh) 半导体存储装置
US20230093316A1 (en) Semiconductor storage device and method of manufacturing semiconductor storage device
TW202337011A (zh) 半導體裝置及其製造方法
JP2023038689A (ja) 半導体記憶装置