TWI729023B - 拉伸應力與低接觸電阻的新材料以及形成方法 - Google Patents

拉伸應力與低接觸電阻的新材料以及形成方法 Download PDF

Info

Publication number
TWI729023B
TWI729023B TW105136770A TW105136770A TWI729023B TW I729023 B TWI729023 B TW I729023B TW 105136770 A TW105136770 A TW 105136770A TW 105136770 A TW105136770 A TW 105136770A TW I729023 B TWI729023 B TW I729023B
Authority
TW
Taiwan
Prior art keywords
arsenic
containing gas
silicon
germanium
atoms
Prior art date
Application number
TW105136770A
Other languages
English (en)
Other versions
TW201729241A (zh
Inventor
葉祉淵
鮑新宇
愛羅安東尼歐C 桑契斯
李學斌
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201729241A publication Critical patent/TW201729241A/zh
Application granted granted Critical
Publication of TWI729023B publication Critical patent/TWI729023B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

本發明大體上係關於用於在半導體元件上形成磊晶層的方法,包括用來形成具拉伸應力之鍺砷層的方法。該方法包括加熱放置在處理腔室內的基板,其中該基板包含矽,及使該基板的表面暴露於含鍺氣體與含砷氣體,藉以在該表面上形成具有4.5×1020 原子/立方公分之砷濃度的鍺砷合金。

Description

拉伸應力與低接觸電阻的新材料以及形成方法
本發明實施例大體上係關於半導體製造製程及元件的領域,更明確言之,係關於用於在磊晶膜上磊晶成長矽材料的方法。
在半導體基板上製造微電子元件以形成積體電路時,在積體電路中的各種導電層彼此互相連接以使電子訊號在元件內傳播。此種元件的實例為互補金屬-氧化物-半導體(CMOS)場效電晶體(FET)或MOSFET。依摻雜劑的導電性而定,典型的MOSFET電晶體可包括p型-通道(PMOS)電晶體及n-型通道(NMOS)電晶體,然而PMOS具有p型通道,即在通道中是電洞負責進行傳導工作,及NMOS 具有n-型通道,即在通道中是電子負責傳導工作。
流過MOS電晶體之通道中的電流量與該通道中的載子移動率成正比。使用高移動率的MOS電晶體能使更多電流流動且從而有較快的電路效能。使通道中產生機械應力能提高MOS電晶體之通道中的載子移動率。處於壓縮應力下的通道(例如,成長在矽上矽-鍺通道層)具有顯著增強的電洞移動率以提供pMOS電晶體。處於拉伸應力下的通道(例如,成長在鬆弛矽-鍺上的矽通道薄層)可達到顯著增強的電子移動率以提供nMOS電晶體。
藉由形成一或多個磷重摻雜矽磊晶層或碳重摻雜矽磊晶層亦可形成處於拉伸應力下的nMOS電晶體通道。重摻雜矽磊晶層可用來降低接觸電阻。由於用於具有不同摻雜劑及不同摻雜劑濃度的磊晶製造條件可能有所不同,因此在當前及未來的技術世代中,接觸電阻會成為電晶體效能的主要限制因子。例如,當活化及達到高濃度的摻雜劑(例如高於4x1021 原子/立方公分)時,由於型態退化而使得高應變Si:P磊晶的擴散控制一直是個主要挑戰。此外,為了產生應變(strain)而在新材料中納入摻雜劑(例如,Ge或GeSn)可能在磊晶製程中帶來重大挑戰。
因此,在所屬技術領域中需要可在通道中提供拉伸應力及提供低串聯電阻的改良方法。
在一實施例中提供一種形成拉伸應力(tensile-stressed)鍺砷層的方法。該方法包括加熱放置在處理腔室內的基板,其中該基板包含矽,及使該基板的表面暴露於含鍺氣體及含砷氣體下以在該表面上形成具有4.5×1021 原子/立方公分至5×1020 原子/立方公分或更高之砷濃度的鍺砷合金。
在另一實施例中提供一種處理基板的方法。該方法包括將半導體基板定位在處理腔室內,其中該基板包括源極/汲極區域,使該基板暴露於含矽氣體及含砷氣體下以在該源極/汲極區域上形成具有4.5×1021 原子/立方公分至5×1021 原子/立方公分或更高之砷濃度的矽砷合金,其中該矽砷合金具有約1×1017 原子/立方公分至約1×1020 原子/立方公分或更高的碳濃度,及在該矽砷合金上形成電晶體通道區域。
在又另一實施例中提供一種結構。該結構包括:包含源極區域及汲極區域的基板、配置在該源極區域極該汲極區域之間的通道區域、配置在該通道區域之橫向外側處的源極延伸區域,其中該源極延伸區域是具有4.5×1021 原子/立方公分至5×1021 原子/立方公分或更高之砷濃度及約1×1017 原子/立方公分或更高之碳濃度的矽砷合金,及配置在該通道區域上方的閘極區域。
在又另一實施例中提供一種形成磷化鍺層的方法。該方法包括加熱放置在處理腔室內的基板且該處理腔室具有約10托耳至約100托耳的腔室壓力,在約400°C或更低的溫度下使該基板的表面暴露在含鍺氣體及含磷氣體下以在該表面上形成具有7.5x1019 原子/立方公分或更高之磷濃度的磷化鍺合金,其中以約3托耳(Torr)至約30托耳的分壓將該含磷氣體引入該處理腔室中。
本發明實施例大體上提供用於形成具有高砷濃度之矽、鍺或鍺-錫層的選擇性磊晶製程。在一示例性實施例中,該選擇性磊晶製程使用包含鍺源及砷摻雜劑源的氣體混合物,且該選擇性磊晶製程是在高於300托耳的高製程壓力及低於800°C的降低製程溫度下進行而允許形成具有4.5×1021 原子/立方公分至5×1020 原子/立方公分或更高之砷濃度的拉伸應力磊晶鍺層。約5×1020 原子/立方公分或更高的砷濃度會使MOSFET結構的載子移動率提高及提升元件效能。以下更詳細地論述各種不同實施例。
可在購自於應用材料公司(美國,加州,聖克拉拉)的CENTURA® RP磊晶腔室中實踐本發明實施例。可預期的是,其他腔室(包括來自其他製造商的腔室)可能可用來實踐本發明實施例。
第1圖為根據本發明一實施例圖示形成磊晶層之方法的流程圖100。第2圖圖示根據第1圖之方法所製成之結構200的剖面圖。在方框102,使基板202定位在處理腔室內。文中使用的術語「基板(substrate)」意欲廣泛涵蓋具有表面且在該表面上可沈積材料層的物件或材料。基板可包括塊狀材料,例如矽(例如,可能含有摻雜劑的單晶矽)或可包括覆蓋在該塊狀材料上方的一或多層。基板可為平面基板或已圖案化的基板。已圖案化基板為可能在基板之處理表面內或處理表面上形成有電子特徵結構的基板。該基板可包含單晶表面及/或非單晶的第二表面,例如多晶或非晶質(amorphous)的表面。單晶表面可包括通常由諸如矽、鍺、矽鍺或矽碳等材料所製成的裸晶基板或沈積單晶層。多晶或非晶質表面可包括介電材料(例如氧化物或氮化物,尤其是氧化矽或氮化矽)及非晶矽表面。
將基板定位在處理腔室中可包括將一或更多個反應器條件,例如溫度、壓力及/或載氣(例如,Ar、N2 、H2 或He)流動速率調整至適合膜形成的條件。例如,在某些實施例中,該處理腔室中的溫度可經調整,使得在基板露出之矽表面處或矽表面附近所形成的反應區域或該基板表面本身為約850°C或更低,例如約750°C或更低。在一實例中,加熱該基板到約200°C至約800°C間的溫度,例如約250°C至約650°C間的溫度,例如約300°C至約600°C間的溫度。藉由加熱該基板至足以使製程試劑熱分解並在基板上沈積膜層的最低溫度可能使該最終元件的熱積存(thermal budget)減至最少。處理腔室內的壓力可加以調整,使得反應區域的壓力在約1托耳至約760托耳的範圍內,例如約90托耳至約300托耳的範圍內。在某些實施例中,可以約10 SLM(標準公升/分鐘)至40 SLM的流動速率使載氣(例如,氮氣)流入該處理腔室中。然而,應明白在某些實施例中可採用不同的載氣/稀釋氣體,可使用不同的流動速率,或可省略此(等)氣體。
在方框104,將含鍺氣體引入該處理腔室中。合適的含鍺氣體可包括,但不限於,鍺烷(GeH4 )、二鍺烷(Ge2 H6 )、三鍺烷(Ge3 H8 )、氯化鍺烷氣體,例如四氯化鍺(GeCl4 )、二氯鍺烷(GeH2 Cl2 )、三氯鍺烷(GeHCl3 )、六氯二鍺烷(Ge2 Cl6 )或上述任意兩種或兩種以上氣體的組合物。亦可使用任何合適的鹵化鍺化合物。在一使用鍺烷的實例中,可以約5 sccm至約100 sccm(例如,約10 sccm至約35 sccm、約15 sccm至約25 sccm、例如約20 sccm)的流動速率使鍺烷流入該處理腔室中。在某些實施例中,可以約300 sccm至約1500 sccm(例如約800 sccm)的流動速率使鍺烷流入該處理腔室中。
在方框106,將含砷氣體引入該處理腔室中。合適的含砷氣體可包括胂(arsine,AsH3 )或叔丁基胂(tertiary butyl arsine,TBA)。在某些實施例中,可將含碳化合物引入該處理腔室中。例如,當使用AsH3 作為砷源時,該含碳化合物可用來在所沈積的磊晶層中添加碳。示例性的含碳化合物可包括,但不限於,單甲基矽烷(MMS)、四甲基矽烷(TMS)或有機金屬前驅物,例如三丁基砷(tributyl arsenide,TBA)。
在一實施例中,以約10 sccm至約2500 sccm(例如,約500 sccm至約1500 sccm)的流動速率使胂流入該處理腔室中。該含碳化合物以約10 sccm至約2500 sccm(例如,約500 sccm至約1500 sccm)的流動速率流入該處理腔室中。非反應性的載氣/稀釋氣體(例如,氮氣)及/或反應性的載氣/稀釋氣體(例如,氫氣)可用來將該含砷氣體及/或含碳化合物供應至該處理腔室。例如,可使胂以約百分之一的比例稀釋在氫氣中。該載氣/稀釋氣體可具有約1 SLM至約100 SLM(例如約3 SLM至約30 SLM)的流動速率。
可思及方框104及方框106可能同時進行、實質同時進行或以任意期望的順序來進行。此外,儘管在本發明中論述了含砷氣體,但可思及,任何由在矽中之擴散係數比磷原子在矽中之擴散係數要小的摻雜劑原子所構成的氣體皆可用來在矽晶格結構中引發應力。在基板是由GeSn所形成的實施例中,可使用含銻氣體,例如三乙基銻(TESb)在GeSn中引發應力。
若有需要,可將一或多種摻雜劑氣體引入該處理腔室中以為該磊晶層提供期望的導電特性及各種電性特性,例如在電子元件所要求的受控制且期望之路徑中流動的方向性電子流。依據所期望之沈積磊晶層的導電特性而定,示例性的摻雜劑氣體可包括,但不限於,磷、硼、鎵或鋁。
在方框108,含鍺氣體與含砷氣體的混合物進行熱反應以形成具有拉伸應力的鍺砷合金,且該鍺砷合金具有大於4.5×1020 原子/立方公分的砷濃度,例如4.5×1021 原子/立方公分至5×1020 原子/立方公分或更高且可接受的誤差在±3%以內。在某些實施例中,該拉伸應力鍺砷合金可具有高達5×1021 原子/立方公分的砷濃度。
該鍺源與該砷源可在處理腔室的反應區域中進行反應,而得以在基板202的矽表面203上磊晶形成鍺砷合金204。鍺砷合金204可具有約250Å至約800Å(例如約500Å)的厚度。在不希望受理論約束的情況下,認為在4.5×1020 原子/立方公分或更高(例如約4.5×1021 原子/立方公分至5×1021 原子/立方公分或更高)的砷濃度下,所沈積的磊晶膜並不單純是摻雜砷的鍺膜,而是該沈積膜是介於矽與鍺砷(例如,假立方相的Ge3 As4 )之間的合金。鍺砷合金會將矽原子驅逐出晶格結構而在矽晶格中產生穩定的空位,從而使矽晶格結構坍塌並進而在磊晶膜中形成區域性(zoned)的應力。由於應力會扭曲(例如,拉緊)該半導體晶格,且該扭曲作用進而影響該半導體的電荷傳輸性質,因此具有5×1021 原子/立方公分或更高之砷濃度的拉伸應力磊晶鍺層可改良電晶體效能。因此,該電晶體通道區域中的載子移動率升高。藉由控制成品元件中的應力大小,製造者可提高載子移動率及提升元件效能。
在磊晶製程期間,處理腔室內的溫度維持在約450°C至約800°C,例如約600°C至約750°C,例如約650°C至約725°C。處理腔室內的壓力維持在約1托耳或更高,例如約10托耳或更高,例如約150托耳至約600托耳。可思及到,當未採用低壓沈積腔室時,可使用高於約600托耳的壓力。反之,在低壓沈積腔室中進行典型的磊晶成長製程維持約10托耳至約100托耳的處理壓力及高於600°C的處理溫度。然而觀察到藉著提高壓力至約150托耳或更高(例如約300托耳或更高),可形成相較於較低壓之磊晶成長製程而言具有更高砷濃度(例如,約1×1021 原子/立方公分至約5×1022 原子/立方公分)的沈積磊晶膜。
應注意,本發明實施例中所述的概念亦可應用在其他可用於邏輯與記憶體應用中的材料上。某些實例可包括以合金形式形成的SiGeAs、GeP、SiGeP、SiGeB、Si:CP、GeSn、GeP、GeB或GeSnB。在任何情況下,該摻雜濃度可能超過該磊晶層的固溶體溶解度(solid solubility),例如高於5×1020 或約1%或2%的摻雜劑含量。
此外,儘管本發明中論述的是磊晶製程,但可思及其他製程(例如,As佈植製程)亦可用來形成具有拉伸應力的矽砷層或鍺砷層。在使用佈植製程的情況中,可在佈植製程之後,在約600°C或更高溫度(例如約950°C)下進行退火處理以穩定或修復因佈植製程在該晶格結構中所造成的任何損傷。可使用雷射退火製程、尖波退火(spike anneal)製程或快速熱退火製程來進行退火處理。該等雷射可為任意類型的雷射,例如氣體雷射、準分子雷射、固態雷射、光纖雷射、半導體雷射等等,該等雷射可加以配置而可發射單一波長或同時發射兩種或兩種以上波長。該雷射退火處理可在基板的指定區域上進行相對短的時間,例如大約1秒或更短。在一實施例中,該雷射退火處理可進行大約毫秒級的時間長度。毫秒級退火改良產量表現,同時能精準地控制在沈積磊晶層中的原子取代作用。毫秒級退火亦避免發生摻雜劑擴散或對沈積層的電阻及拉伸應變造成任何負面衝擊。
第3A圖為根據本發明另一實施例圖示形成磊晶層之方法的流程圖300。在方框302,將基板定位在處理腔室內。可參考以上方框102所論述的類似方式來調整一或多個反應器條件。
在方框304,將含矽氣體引入該處理腔室中。合適的含矽氣體可包括,但不限於,矽烷化合物、鹵化矽烷化合物或上述氣體之組合物。矽烷化合物可包括甲矽烷(SiH4 )及具有實驗式Six H(2x+2) 的更高級矽烷,例如二矽烷(Si2 H6 )、三矽烷(Si3 H8 )及四矽烷(Si4 H10 )。鹵化矽烷化合物可包括單氯矽烷(MCS)、二氯矽烷(DCS)、三氯矽烷(TCS)、六氯二矽烷(HCDS)、八氯三矽烷(OCTS)、四氯化矽(STC)或上述氣體之任意組合物。在一實施例中,該含矽氣體為二矽烷。在另一實施例中,該矽源包括TCS。在又另一實施例中,該矽源包括TCS及DCS。在一使用二矽烷的實例中,可使二矽烷以約200 sccm至約1500 sccm(例如約500 sccm至約1000 sccm,例如約700 sccm至約850 sccm,例如約800 sccm)的流動速率流入該處理腔室中。
在方框306,將含砷氣體引入該處理腔室中。合適的含砷氣體可包括叔丁基胂(TBA)或胂(AsH3 )。在某些實施例中,可將含碳化合物引入該處理腔室中。例如,當使用AsH3 作為砷源時,該含碳化合物可用來在所沈積的磊晶層中添加碳。示例性的含碳化合物可包括,但不限於,單甲基矽烷(MMS)、四甲基矽烷(TMS)或有機金屬前驅物,例如三丁基砷(tributyl arsenide,TBA)。在一實施例中,可以約10 sccm至約200 sccm(例如約20 sccm至約100 sccm,例如約75 sccm至約85 sccm)的流動速率將TBA化合物引入該處理腔室中。
可思及方框304及方框306可能同時進行、實質同時進行或以任意期望的順序來進行。此外,儘管在本發明中論述的是含砷氣體,但可思及,任何由在矽中之擴散係數比磷原子在矽中之擴散係數要小的摻雜劑原子所構成的氣體皆可用來在矽晶格結構中引發應力。例如,可使用含銻氣體(例如,三乙基銻(TESb))來取代該含砷氣體,或除了含砷氣體之外亦可使用含銻氣體。
若有需要,可將一或多種摻雜劑氣體引入該處理腔室中以為該磊晶層提供期望的導電特性及各種電性特性,例如在電子元件所要求的受控制且期望之路徑中流動的方向性電子流。依據所期望之沈積磊晶層的導電特性而定,示例性的摻雜劑氣體可包括,但不限於,磷、硼、鎵或鋁。
在方框308,含矽氣體與含砷氣體的混合物進行熱反應以形成具有拉伸應力(tensile-stressed)的矽砷合金,且該矽砷合金具有大於4.5×1020 原子/立方公分或更高的砷濃度,例如4.5×1021 原子/立方公分至5×1021 原子/立方公分或更高且可接受的誤差在±3%以內。特別是,該矽砷合金含有來自於TESb的碳。在一實施例中,該矽砷合金具有約1×1017 原子/立方公分或更高(例如約1×1018 原子/立方公分至1×1020 原子/立方公分)的碳濃度。所沈積的矽砷合金可具有約250Å至約800Å(例如,約500Å)的厚度。
類似地,該矽源與該砷源可在處理腔室的反應區域中進行反應,而得以磊晶形成矽砷合金。並認為在約4.5×1020 原子/立方公分或更高(例如約4.5×1021 原子/立方公分至5×1021 原子/立方公分或更高)的砷濃度下,所沈積的磊晶膜並不純粹是摻雜砷的矽膜,而是該沈積膜是介於矽與矽砷(例如,假立方相的Si3 As4 )之間的合金。由於應力會扭曲(例如,拉緊)該半導體晶格,且該扭曲作用進而影響該半導體的電荷傳輸性質,因此具有5×1021 原子/立方公分或更高之砷濃度的拉伸應力磊晶矽層亦可改良電晶體效能。
在磊晶製程期間,處理腔室內的溫度維持在約400°C至約800°C,例如約600°C至約750°C,例如約625°C至約700°C。處理腔室內的壓力維持在約1托耳至約150托耳,例如約10托耳至約20托耳。在一實施例中,使用二矽烷及TBA在600°C的溫度及20托耳下形成拉伸應力磊晶矽層。依據所使用的矽源而定,可思及到,可使用高於約150托耳的壓力。此外,藉著提高壓力至約150托耳或更高(例如約300托耳或更高),可形成相較於較低壓之磊晶成長製程而言具有更高砷濃度(例如,約5×1021 原子/立方公分或更高)的沈積磊晶膜。
該矽砷合金可作為存在於半導體元件中之介於源極區域與汲極區域間之電晶體通道附近的擴散阻障層,該半導體元件可例如為金屬-氧化物-半導體場效電晶體(MOSFET)或鰭式場效電晶體(FinFET),在鰭式場效電晶體中,連接源極區域與汲極區域的通道為伸出基板的薄「鰭狀物(fin)」。此舉是因為所沈積之磊晶膜中的碳可在高溫(例如,高於800°C)操作期間防止或減慢磷(或其他摻雜劑)從源極/汲極區域擴散至該通道區域中。此摻雜劑擴散作用會導致產生漏電電流及擊穿效能(breakdown performance)不佳的不利情形。
第3B圖為FinFET結構358的剖面圖,該圖中概要圖示可能受益於本發明實施例的示例性結構。應注意,該結構358僅作為示例之用且未按比例繪製。因此,本發明實施例不應侷限於所圖示的結構358。在一實施例中,該結構358包括基板360、形成在該基板360上方的Si:P源極區域362及Si:P汲極區域364。(經摻雜或未經摻雜的)通道區域366配置在該Si:P源極區域362與Si:P汲極區域364之間。源汲極延伸(SDE)區域368為根據本發明實施例所形成之摻雜碳的矽砷合金,該源汲極延伸(SDE)區域368配置在該Si:P源極區域362與Si:P汲極區域364之間以作為P擴散阻障層。該源汲極延伸(SDE)區域368可配置在該通道區域的附近或緊鄰該通道區域的兩側(例如,位在該通道區域366的橫向外側處)。閘極370形成在該通道區域366的頂部上及周圍。間隔物372可環繞著該閘極370而形成且位在該SDE區域368的頂部上。
第4圖為根據本發明一實施例圖示形成高品質磷化鍺(GeP)磊晶材料之方法的流程圖400。在方框402,將基板定位在處理腔室內。可參考以上方框102所論述的類似方式來調整一或多個反應器條件。
文中使用的術語「基板」意欲廣泛涵蓋具有表面且在該表面上可沈積材料層的任何物件或材料。基板可包括塊狀材料,例如矽(例如,可能含有摻雜劑的單晶矽)或可包括覆蓋在該塊狀材料上方的一或多個層。該基板可為平面基板或已圖案化的基板。已圖案化基板為可能在基板之處理表面內或處理表面上形成有電子特徵結構的基板。該基板可包含單晶表面及/或非單晶的第二表面,例如多晶或非晶質的表面。單晶表面可包括通常由諸如矽、鍺、矽鍺或矽碳等材料所製成的裸晶基板或沈積單晶層。多晶或非晶質表面可包括介電材料(例如氧化物或氮化物,尤其是氧化矽或氮化矽)及非晶矽表面。
在方框404,將含鍺氣體引入該處理腔室中。合適的含鍺氣體可包括,但不限於,鍺烷(GeH4 )、二鍺烷(Ge2 H6 )、三鍺烷(Ge3 H8 )、氯化鍺烷氣體,例如四氯化鍺(GeCl4 )、二氯鍺烷(GeH2 Cl2 )、三氯鍺烷 (GeHCl3 )、六氯二鍺烷(Ge2 Cl6 )或上述任意兩種或兩種以上氣體的組合物。亦可使用任何合適的鹵化鍺化合物。在一示例性實施例中,可使用二鍺烷(Ge2 H6 )。由於二鍺烷在低溫下的反應性,因此發現二鍺烷有利於在極低溫磊晶形成Ge合金時有效地將Ge納入晶格中。因此,可在諸如400°C或更低(例如,350°C至400°C)的低溫下得到高成長速率。
在一使用二鍺烷(Ge2 H6 )的示例性實例中,二鍺烷可以以下的流動速率流入該處理腔室中:約5 sccm至約100 sccm,例如介於約10 sccm至約95 sccm間、例如約15 sccm至約25 sccm、例如約25 sccm至約35 sccm、例如約35 sccm至約45 sccm、例如約45 sccm至約55 sccm、例如約55 sccm至約65 sccm、例如約65 sccm至約75 sccm、例如約75 sccm至約85 sccm、例如約85 sccm至約95 sccm。在一實施例中,可使二鍺烷以約20 sccm之低速率流入處理腔室。亦可思及更高的流動速率。例如,可使二鍺烷以約300 sccm至約1500 sccm(例如約800 sccm)的流動速率流入該處理腔室中。
在方框406,將含磷氣體引入該處理腔室中。一示例性的含磷氣體為叔丁基膦(TBP)。令示例性含磷氣體包括膦(PH3 )。在一實施例中,可以以下的流動速率將TBP或膦引入該處理腔室中:約10 sccm至約200 sccm、例如介於約10 sccm至約20 sccm、約20 sccm至約30 sccm、約30 sccm至約40 sccm、約40 sccm至約50 sccm、約50 sccm至約60 sccm、約60 sccm至約70 sccm、約70 sccm至約80 sccm、約80 sccm至約90 sccm、約90 sccm至約100 sccm、約100 sccm至約110 sccm、約110 sccm至約120 sccm、約120 sccm至約130 sccm、約130 sccm至約140 sccm、約140 sccm至約150 sccm、約150 sccm至約160 sccm、約160 sccm至約170 sccm、約170 sccm至約180 sccm、約180 sccm至約190 sccm、約190 sccm至約200 sccm。
可思及方框404及方框406可同時進行、實質同時進行或以任意期望的順序來進行。此外,儘管在本發明中論述含磷氣體,但可思及,任何由在矽中之擴散係數比磷原子在矽中之擴散係數要小的摻雜劑原子所構成的氣體皆可用來在矽晶格結構中引發應力。例如,依據所沈積之磊晶層的期望性質及/或導電特性而定,可使用含砷氣體(例如,叔丁基胂(TBA)或胂(AsH3 ))、含銻氣體(例如,三乙基銻(TESb))來取代該含磷氣體,或除了含磷氣體之外亦可使用含砷氣體、含銻氣體。
在方框408,含鍺氣體與含磷氣體的混合物進行熱反應以在基板上磊晶成長磷化鍺(GeP)合金或材料。
在磊晶製程期間,處理腔室內的溫度維持在約450°C或更低,例如約150°C至約400°C,例如約200°C至約250°C,例如約250°C至約300°C,例如約300°C至約350°C,例如約350°C至約400°C。在一實施例中,該磷化鍺合金是在約350°C的溫度下成長而成。處理腔室內的壓力維持在約1托耳至約150托耳,例如約10托耳至約100托耳,例如100托耳。可思及到,可使用高於約100托耳的壓力以獲得相較於較低壓之磊晶成長製程而言更高的磷濃度。
在一使用二鍺烷及膦(phosphine)的實施例中,該膦的分壓可在3托耳至約30托耳的範圍中。P與Ge的莫耳比例可介於約1:10至約1:40間,例如約1:20至約1:30間。觀察到在本案中所述參數下形成的GeP合金表現出高結晶品質且具有極高的P+ 離子濃度。例如,觀察到在本案中所述參數下形成的GeP合金含有約7.5x1019 原子/立方公分或更高的高磷濃度,例如4.5x1020 原子/立方公分或更高的磷濃度,例如4.5x1021 原子/立方公分至5x1021 原子/立方公分的磷濃度,且可接受的誤差為±3%。所沈積的磷化鍺合金可具有約250Å至約800Å(例如約500Å)的厚度。
本發明的益處包括具有高於5x1020 原子/立方公分或更高之砷摻雜濃度而可改良電晶體效能的拉伸應力鍺砷層。重摻雜砷的鍺可在鍺或其他適用於邏輯與記憶體應用上的材料中引起顯著的拉伸應變。該提高的應力會扭曲或拉緊該半導體結晶晶格,且該扭曲作用進而影響該半導體的電荷傳輸性質。因此提高了載子移動率,且從而改良元件效能。在某些實施例中,重摻雜砷的矽可包含濃度為1x1017 原子/立方公分至1x1020 原子/立方公分或更高的碳,藉以防止磷(或其他摻雜劑)在高溫操作期間從源極/汲極區域擴散至通道區域中。從而可使在通道區域處所發生的漏電電流減至最小或避免發生漏電電流。
本發明的益處亦包括使用二鍺烷(Ge2 H6 )及膦(PH3 )以極低溫成長高品質的Ge:P。該磊晶製程是在約100托耳的降低壓力下使用範圍在3托耳至約30托耳間的膦分壓來進行以得到7.5x1019 原子/立方公分或更高的高磷濃度。該高磷濃度在所沈積的磊晶膜內引發應力,從而提高拉伸應變,而使得載子移動率提高及改良元件效能。
儘管以上內容描述了本發明的多個實施例,但在不偏離本發明基本範圍下,當可設計出本發明的其他及進一步的實施例。
100‧‧‧流程圖102‧‧‧方框104‧‧‧方框106‧‧‧方框108‧‧‧方框200‧‧‧結構202‧‧‧基板203‧‧‧矽表面204‧‧‧鍺砷合金300‧‧‧流程圖302‧‧‧方框304‧‧‧方框306‧‧‧方框308‧‧‧方框358‧‧‧FinFET結構360‧‧‧基板362‧‧‧Si:P源極區域364‧‧‧Si:P汲極區域366‧‧‧通道區域368‧‧‧源汲極延伸區域370‧‧‧閘極372‧‧‧間隔物400‧‧‧流程圖402‧‧‧方框404‧‧‧方框406‧‧‧方框408‧‧‧方框
本發明的實施例簡要概述如上且於以下做更詳細地論述,且可參照附圖中所圖示的說明性本發明實施例來瞭解本發明的實施例。然而應注意,該等附圖圖示的僅為本發明的典型實施例,故不應視為本發明範圍的限制,就本發明而言可容許做出其他等效實施例。
第1圖為根據本發明一實施例圖示形成磊晶層之方法的流程圖。
第2圖圖示根據第1圖之方法所製成的結構。
第3A圖為根據本發明另一實施例圖示形成磊晶層之方法的流程圖。
第3B圖為根據本發明實施例所製成之結構的剖面圖。
第4圖為根據本發明一實施例圖示形成高品質磷化鍺(GeP)磊晶層之方法的流程圖。
為幫助瞭解,儘可能地使用相同元件符號來代表該等圖式中共用的相同元件。該等圖式未按比例繪製且可能加以簡化以求清晰。預期可將一實施例中的元件及特徵有利地併入其他實施例中而無需多做說明。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無
100‧‧‧流程圖
102‧‧‧方框
104‧‧‧方框
106‧‧‧方框
108‧‧‧方框

Claims (20)

  1. 一種用於形成一拉伸應力鍺砷層的方法,包括以下步驟:加熱放置在一處理腔室內的一基板,其中該基板包含矽;及使該基板的一表面暴露於一含鍺氣體及一含砷氣體以在該表面上形成一具有4.5×1020原子/立方公分或更高之砷濃度的鍺砷合金。
  2. 如請求項1所述之方法,其中該含鍺氣體包括鍺烷(GeH4)、二鍺烷(Ge2H6)、三鍺烷(Ge3H8)、四氯化鍺(GeCl4)、二氯鍺烷(GeH2Cl2)、三氯鍺烷(GeHCl3)、六氯二鍺烷(Ge2Cl6)或上述氣體之任意組合物。
  3. 如請求項1所述之方法,其中該含砷氣體包括胂(AsH3)或叔丁基胂(TBA)。
  4. 如請求項1所述之方法,其中該鍺砷合金具有一至少4.5x1021至5x1021原子/立方公分的砷濃度。
  5. 如請求項4所述之方法,其中使該基板的一表面暴露於一含鍺氣體及一含砷氣體的步驟包括以下步驟:使該處理腔室內的一溫度維持約450℃至約800℃。
  6. 如請求項1所述之方法,其中該處理腔室內的該壓力維持在約10托耳或更高。
  7. 一種處理一基板的方法,包括以下步驟:將一半導體基板定位在一處理腔室內,其中該基板包括一源極/汲極區域;使該基板暴露於一含矽氣體及一含砷氣體以形成一矽砷合金,其中該含砷氣體為一砷源及一碳源,該砷源及該碳源用於提供在該源極/汲極區域上4.5×1021原子/立方公分至5×1021原子/立方公分或更高的一砷濃度以及在該源極/汲極區域上約1×1017原子/立方公分或更高的一碳濃度;及在該矽砷合金上形成一電晶體通道區域。
  8. 如請求項7所述之方法,其中該含矽氣體包括甲矽烷(SiH4)、二矽烷(Si2H6)、三矽烷(Si3H8)、四矽烷(Si4H10)、單氯矽烷(MCS)、二氯矽烷(DCS)、三氯矽烷(TCS)、六氯二矽烷(HCDS)、八氯三矽烷(OCTS)、四氯化矽(STC)或上述氣體之任意組合物。
  9. 如請求項7所述之方法,其中該含砷氣體包括叔丁基胂(TBA)。
  10. 如請求項7所述之方法,其中該含矽氣體為二矽烷及該含砷氣體為TBA。
  11. 如請求項7所述之方法,其中該矽砷合金具有一1×1018原子/立方公分至1×1020原子/立方公分的碳濃度。
  12. 一種具有拉伸應力的矽砷合金的結構,包括:一基板,該基板包括一源極區域及一汲極區域;一通道區域,該通道區域配置在該源極區域極該汲極區域之間;一源汲極延伸區域,該源汲極延伸區域配置在該通道區域的橫向外側處,其中該源汲極延伸區域是一矽砷合金,該矽砷合金具有一4.5×1021原子/立方公分至5×1021原子/立方公分或更高之砷濃度及一約1×1017原子/立方公分或更高的碳濃度;及一閘極區域,該閘極區域配置在該通道區域上方。
  13. 如請求項12所述之結構,其中該矽砷合金具有一約1×1018原子/立方公分至1×1020原子/立方公分的碳濃度。
  14. 如請求項12所述之結構,其中該矽砷合金是使用一包括甲矽烷(SiH4)、二矽烷(Si2H6)、三矽烷(Si3H8)、四矽烷(Si4H10)、單氯矽烷(MCS)、二氯矽烷(DCS)、三氯矽烷(TCS)、六氯二矽烷(HCDS)、八氯三矽烷(OCTS)、四氯化矽(STC)或 上述氣體之任意組合物的含矽氣體及一包括叔丁基胂(TBA)或胂(AsH3)的含砷氣體藉由一磊晶製程所形成的。
  15. 如請求項14所述之結構,其中該矽砷合金是使用二矽烷與TBA藉由一磊晶製程所形成。
  16. 一種形成一磷化鍺層的方法,包括以下步驟:加熱放置在一處理腔室內的一矽基板,該處理腔室具有一約10托耳至約100托耳的腔室壓力;在約400℃或更低的一溫度下使該基板的一表面暴露於一含鍺氣體及一含磷氣體以在該表面上形成一具有一7.5x1019原子/立方公分或更高之磷濃度的磷化鍺合金,其中以一約3托耳至約30托耳的分壓將該含磷氣體引入該處理腔室中。
  17. 如請求項16所述之方法,其中該含鍺氣體包括鍺烷(GeH4)或二鍺烷(Ge2H6)。
  18. 如請求項16所述之方法,其中該含磷氣體包括膦(PH3)。
  19. 如請求項16所述之方法,其中使該基板的一表面暴露於一含鍺氣體及一含磷氣體的步驟是在一約350℃或更低的溫度下進行。
  20. 如請求項16所述之方法,其中該磷與鍺的 莫耳比例為介於約1:10至約1:40之間。
TW105136770A 2015-11-25 2016-11-11 拉伸應力與低接觸電阻的新材料以及形成方法 TWI729023B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562259869P 2015-11-25 2015-11-25
US62/259,869 2015-11-25
US201662280594P 2016-01-19 2016-01-19
US62/280,594 2016-01-19

Publications (2)

Publication Number Publication Date
TW201729241A TW201729241A (zh) 2017-08-16
TWI729023B true TWI729023B (zh) 2021-06-01

Family

ID=58721121

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105136770A TWI729023B (zh) 2015-11-25 2016-11-11 拉伸應力與低接觸電阻的新材料以及形成方法

Country Status (3)

Country Link
US (1) US20170148918A1 (zh)
TW (1) TWI729023B (zh)
WO (1) WO2017091345A1 (zh)

Families Citing this family (257)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5332689A (en) * 1993-02-17 1994-07-26 Micron Technology, Inc. Method for depositing low bulk resistivity doped films
US20090075029A1 (en) * 2007-09-19 2009-03-19 Asm America, Inc. Stressor for engineered strain on channel
US20130234203A1 (en) * 2012-03-08 2013-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices and Methods of Manufacture Thereof
US20140120678A1 (en) * 2012-10-29 2014-05-01 Matheson Tri-Gas Methods for Selective and Conformal Epitaxy of Highly Doped Si-containing Materials for Three Dimensional Structures

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5530715A (en) * 1994-11-29 1996-06-25 Motorola, Inc. Vertical cavity surface emitting laser having continuous grading
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7687383B2 (en) * 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
KR100764058B1 (ko) * 2006-09-20 2007-10-09 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성방법
US8207023B2 (en) * 2009-08-06 2012-06-26 Applied Materials, Inc. Methods of selectively depositing an epitaxial layer
US8466045B2 (en) * 2010-07-02 2013-06-18 Tokyo Electron Limited Method of forming strained epitaxial carbon-doped silicon films
EP2673799B1 (en) * 2011-02-08 2022-08-31 Applied Materials, Inc. Epitaxy of high tensile silicon alloy for tensile strain applications
US9059024B2 (en) * 2011-12-20 2015-06-16 Intel Corporation Self-aligned contact metallization for reduced contact resistance
US9324811B2 (en) * 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9312344B2 (en) * 2013-03-13 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming semiconductor materials in STI trenches
US9312360B2 (en) * 2014-05-01 2016-04-12 International Business Machines Corporation FinFET with epitaxial source and drain regions and dielectric isolated channel region

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5332689A (en) * 1993-02-17 1994-07-26 Micron Technology, Inc. Method for depositing low bulk resistivity doped films
US20090075029A1 (en) * 2007-09-19 2009-03-19 Asm America, Inc. Stressor for engineered strain on channel
US20130234203A1 (en) * 2012-03-08 2013-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices and Methods of Manufacture Thereof
US20140120678A1 (en) * 2012-10-29 2014-05-01 Matheson Tri-Gas Methods for Selective and Conformal Epitaxy of Highly Doped Si-containing Materials for Three Dimensional Structures

Also Published As

Publication number Publication date
TW201729241A (zh) 2017-08-16
US20170148918A1 (en) 2017-05-25
WO2017091345A1 (en) 2017-06-01

Similar Documents

Publication Publication Date Title
TWI729023B (zh) 拉伸應力與低接觸電阻的新材料以及形成方法
US10446393B2 (en) Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
KR102645400B1 (ko) Iv족 반도체의 선택적 증착 방법 및 이와 관련된 반도체 소자 구조
US7776698B2 (en) Selective formation of silicon carbon epitaxial layer
US7960236B2 (en) Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US7737007B2 (en) Methods to fabricate MOSFET devices using a selective deposition process
US9064960B2 (en) Selective epitaxy process control
JP5808522B2 (ja) シリコンを含有するエピタキシャル層の形成
US20080044932A1 (en) Carbon precursors for use during silicon epitaxial film formation
US20060115934A1 (en) Selective epitaxy process with alternating gas supply
JP2007537601A (ja) 選択的堆積プロセスを使用したmosfetデバイスの作製方法
JP5551745B2 (ja) シリコンと炭素を含有するインサイチュリンドープエピタキシャル層の形成
KR102534730B1 (ko) 선택적 에피택셜 성장을 위한 성장률을 증강시키기 위한 방법
US20180019121A1 (en) Method and material for cmos contact and barrier layer
TW202412074A (zh) 提高選擇性磊晶生長之生長速率的方法