TWI723173B - 基板處理裝置、維護用治具、基板處理裝置之維護方法及記憶媒體 - Google Patents

基板處理裝置、維護用治具、基板處理裝置之維護方法及記憶媒體 Download PDF

Info

Publication number
TWI723173B
TWI723173B TW106118893A TW106118893A TWI723173B TW I723173 B TWI723173 B TW I723173B TW 106118893 A TW106118893 A TW 106118893A TW 106118893 A TW106118893 A TW 106118893A TW I723173 B TWI723173 B TW I723173B
Authority
TW
Taiwan
Prior art keywords
pin
jig
lift pin
lift
lifting
Prior art date
Application number
TW106118893A
Other languages
English (en)
Other versions
TW201810517A (zh
Inventor
末木英人
三浦知久
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201810517A publication Critical patent/TW201810517A/zh
Application granted granted Critical
Publication of TWI723173B publication Critical patent/TWI723173B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

在具備有複數個升降銷的基板處理裝置中,迅速地進行升降銷之高度位置的檢測,該複數個升降銷,係可對基板的載置台突出/沒入自如且用以進行基板之收授。

準備一治具(7),該治具(7),係在底部形成為與基板相同大小的容器(71)內設置有點燈電路。容器(71)的底部,係藉由導電路徑板(72)所構成,為了使與1根升降銷(4)對應的區域(P1)與其他區域(P2)絕緣,而在區域(P1)的周圍設置有絕緣材料(23),點燈電路之兩端,係分別連接於區域(P1、S2)。當將治具(7)載置於載置台(2),在使1根升降銷(4)接觸於區域(P1)的狀態下,使其他升降銷(4)接觸於區域(P2)時,則由於升降銷(4)彼此電性連接,因此,治具(7)內的LED(77)便亮燈。以光檢測部(60)檢測此時的光,控制調整對象之升降銷(4)的伺服馬達(5)。

Description

基板處理裝置、維護用治具、基板處理裝置之維護方法及記憶媒體
本發明,係關於在具備有複數個升降銷的基板處理裝置中,檢測升降銷之高度位置而進行維護的技術領域,該複數個升降銷,係可對處理容器內之基板的載置台突出/沒入自如且用以進行基板之收授。
在設置於對基板進行例如蝕刻、成膜等的真空處理之處理容器內的基板之載置台,係設置有對基板之載置面突出/沒入自如的複數個升降銷。載置台與外部的搬送機構之間的基板之收授,係藉由使該些升降銷升降的方式而進行。升降銷,係在對基板之處理進行的期間,被收容於形成在載置台的貫通孔。
另一方面,在處理FPD(Flat Panel Display)用之玻璃基板的裝置中,係避開玻璃基板之面內的製品化區域(設置有元件或配線等的區域)而配置了升降銷。但是,玻璃基板,係近年來隨著大型化日益進展,其厚度有變薄的傾向,為了穩定地支撐大型且薄的玻璃基板,由於增加升降銷之個數為良策,因此,今後,在玻璃基板之面內之 製品化區域的下方亦必需配置升降銷。
然而,在製品化區域之下方配置了升降銷的情況下,在對基板進行處理的期間,當升降銷的位置過高或過低而不適當時,與配置有升降銷的部分對應之區域的處理條件並不同,而成為發生處理不良的原因。因此,正確地掌握升降銷之位置雖對於製品的可靠性而言亦重要,但至今自動地掌握升降銷之位置的手法尚未被確立,作業員使用例如度盤規等的計測機器來測定每一升降銷之位置。在該情況下,由於必須將處理容器開放於大氣,因此,開閉作業所伴隨之作業員的負擔較大且作業時間較長,而且,由於必須對處理容器內進行抽真空,因此,作為結果,產生了裝置之停機時間長期化的問題。而且,在該情況下,在作業員測定升降銷的位置與對基板進行處理時,係由於處理容器內的真空度或溫度不同,因此,難以正確地設定對基板進行處理時之升降銷的位置。
在專利文獻1中,係記載有如下述之內容:電漿蝕刻的情況下,在形成於基板的載置台之與升降銷之插通孔對應的部分,鞘區域之電磁場會變成不均等,而插通孔之正上方的蝕刻速率與其他部分不同。而且,為了抑制該狀態,雖記載有藉由調整螺絲來將升降銷之前端調整成離基板之背面70~130μm下方的內容,但並非為暗示本發明的手法。
在專利文獻2中,係記載有如下述之技術:在使載置台的3根支撐銷上升時,封閉被載放於基板搬送機構之治 具的甜甜圈板之中央部的圓板會被上堆,在該圓板僅上升其厚度以上時,在載置有圓板的區域形成有光路,藉此,可檢測支撐銷的上端位置。然而,該技術,係無法個別地檢測載置台之升降銷的高度位置。
[先前技術文獻] [專利文獻]
[專利文獻1]日本特許第4597894號公報
[專利文獻2]日本特開2009-54993號公報
本發明,係有鑑於像這樣的情事而進行研究者,其目的,係在於提出如下述之技術:可在具備有複數個升降銷的基板處理裝置中,迅速地進行升降銷之高度位置的檢測,該複數個升降銷,係可對基板的載置台突出/沒入自如且用以進行基板之收授。
本發明,係一種在處理容器內之載置台載置基板而進行處理的基板處理裝置,其特徵係,具備有:複數個升降銷,被構成為可對前述載置台的載置面突出/沒入自如且用以進行基板之收授;驅動部,用以使前述升降銷升降; 檢測部,在檢測到從被載置於前述載置台之治具所輸出的輸出訊號時,輸出檢測訊號;及控制部,根據前述檢測訊號來控制前述驅動部,前述治具,係具備有:電路,在前述複數個升降銷所含之第1升降銷及第2升降銷分別與該治具的下面接觸時,電性地形成閉迴路而輸出輸出訊號,前述控制部,係被構成為執行:使前述第1升降銷接觸於被載置在前述載置台之治具的下面之步驟;其次,使前述第2升降銷上升之步驟;及在檢測訊號從前述檢測部被輸出時,使第2升降銷停止之步驟,前述第1升降銷與第2升降銷,係至少在使用治具進行接觸之檢測操作時為電性相互連接的狀態。
再一發明,係一種本發明之基板處理裝置所使用的維護用治具,其特徵係,具備有:電路,在複數個升降銷所含之第1升降銷及第2升降銷分別與該治具的下面接觸時,電性地形成閉迴路而輸出輸出訊號。
又一發明,係一種對具備有載置台與複數個升降銷之基板處理裝置進行維護的方法,該載置台,係為了在用以處理基板的處理容器內載置基板所設置,該複數個升降銷,係被構成為藉由驅動部所致之升降,可對前述載置台的載置面突出/沒入自如且用以進行基板之收授,該方法,其特徵係,包含有:將具備有電路的治具載置於前述載置台之工程,該電 路,係在前述複數個升降銷所含之第1升降銷及第2升降銷分別與其下面接觸時,電性地形成閉迴路而輸出輸出訊號;使前述第1升降銷接觸於被載置在前述載置台之治具的下面之工程;及其次,在使前述第2升降銷上升,而檢測部檢測到從前述治具所輸出的輸出訊號時,使第2升降銷停止之步驟,前述第1升降銷與第2升降銷,係至少在使用治具進行接觸之檢測操作時為電性相互連接的狀態。
再又一發明,係一種記憶有具備載置台與複數個升降銷之基板處理裝置所使用的電腦程式之記憶媒體,該載置台,係為了在用以處理基板的處理容器內載置基板所設置,該複數個升降銷,係被構成為藉由驅動部所致之升降,可對前述載置台的載置面突出/沒入自如且用以進行基板之收授,該記憶媒體,其特徵係,前述電腦程式,係編入有步驟群,以便執行如申請專利範圍第9~12項中任一項之基板處理裝置之維護方法。
本發明,係在將治具載置於載置台並將第1升降銷接觸於治具的下面之狀態下,在第2升降銷接觸於治具的下面時,電性地形成閉迴路而從治具發送出輸出訊號,藉由檢測該輸出訊號的方式,檢測升降銷之高度位 置。因此,容易進行升降銷之高度位置的檢測,從而可迅速地進行維護。而且,只要使用基板搬送機構來將治具搬入至處理容器內,則不用開放處理容器就可進行維護,從而可抑制裝置的停機時間。
100‧‧‧電漿蝕刻裝置
1‧‧‧處理容器
18‧‧‧光透過窗
2‧‧‧載置台
21‧‧‧本體部
22‧‧‧靜電夾頭
3‧‧‧噴頭
4‧‧‧升降銷
4A‧‧‧第1升降銷
4B‧‧‧第2升降銷
5‧‧‧伺服馬達
6‧‧‧裝置控制部
60‧‧‧光檢測部
7‧‧‧治具
71‧‧‧容器
72‧‧‧導電路徑板
73‧‧‧絕緣材料
77‧‧‧LED
S1‧‧‧第1區域
S2‧‧‧第2區域
[圖1]表示本發明之基板處理裝置之實施形態的縱剖面圖。
[圖2]表示本發明之基板處理裝置之治具、升降銷及控制系統的構成圖。
[圖3]表示使用於本發明之治具之一例之內部的立體圖。
[圖4]表示包含有本發明之基板處理裝置之實施形態之基板處理系統的概略平面圖。
[圖5]表示本發明之實施形態中之升降銷之高度位置之調整作業的流程圖。
[圖6]表示本發明之實施形態中之升降銷之高度位置之調整作業之態樣的說明圖。
[圖7]表示本發明之實施形態中之升降銷之高度位置之調整作業之態樣的說明圖。
[圖8]表示本發明之實施形態中之升降銷之高度位置之調整作業之態樣的說明圖。
[圖9]表示使用於本發明之治具之其他例的立體圖。
[圖10]表示使用於本發明之治具之其他例的縱剖面圖。
[圖11]表示將本發明之實施形態中之升降銷之高度位置的檢測應用於異常檢測之例子的流程圖。
說明關於將本發明應用於真空處理裝置即電漿蝕刻裝置的實施形態。電漿蝕刻裝置100,係被構成為對FPD用之矩形的基板G進行蝕刻之電容耦合型平行平板電漿蝕刻裝置。作為FPD,係例示有液晶顯示器(LCD)、電致發光(Electro Luminescence;EL)顯示器、電漿顯示器面板(PDP)等。
電漿蝕刻裝置100,係具有由鋁所構成之被形成為角筒形狀的真空容器即處理容器1。處理容器1之表面,係因應所需而被陽極氧化處理(耐酸鋁處理)。在處理容器1的底部,係經由絕緣體10設置有兼用為下部電極而載置基板G的載置台2。載置台2,係具備有:本體部21,由例如鋁或不鏽鋼(SUS)等的導電性材料所構成;靜電夾頭22,設置於本體部21的上面。在本體部21的上部周緣,係橫跨全周形成有段部,在比該段部的段面更上方側,係以包圍本體部21上之基板G的方式,設置有框狀的遮蔽環23。又,遮蔽環23的下方側,係以包圍本體部21的方式,設置有絕緣環24。
靜電夾頭22,係經由開關部11連接於直流電 源12,藉由開啟開關部11的方式,靜電吸附基板G。因此,在該例子中,靜電夾頭22之表面成為基板G之載置面。
在載置台2的本體部21,係分別經由匹配器13a及14a,連接有電漿生成用之高頻電源13及偏壓施加用之高頻電源14。在載置台2的上方,係以與該載置台2對向的方式,設置有構成氣體供給部的噴頭3。噴頭3,係被構成為使從設置於上部中央附近之氣體導入埠30所導入的處理氣體經由氣體擴散室31而從多數個氣體吐出孔32將處理氣體供給至載置台2上。在氣體導入埠30,係經由氣體供給管線33連接有氣體供給源34。噴頭3為接地,與載置台2一起構成一對平行平板電極。
在靠近處理容器1內之四角隅的底部,係連接有排氣管15,排氣管15的下游端,係例如匯流而連接於由渦輪分子泵等之真空泵所構成的真空排氣機構16。連於處理容器1之底部的各排氣管15,係設置有未圖示之壓力調整機構及閥。
在處理容器1的側壁,係設置有開閉基板搬送口的閘閥17。
在載置台2中,為了在與外部的基板搬送機構之間進行基板之收授,以可對載置台2之載置面(靜電夾頭22之上面)突出/沒入自如的方式,設置有複數個升降銷4。該些升降銷4,係配置於被形成在載置台2及處理容器1的貫通孔41內,且被構成為可藉由設置於處理 容器1之下方側的驅動部即伺服馬達5而各自獨立地進行升降。50,係用以氣密地密封貫通孔41的波紋管。升降銷4之設置數及配置佈局,係因應基板G的面積或厚度等而決定,關於設置數,係設定為例如數個~數十個。在圖1等中,係基於作圖、說明之容易性的觀點,示意地表示被配置在一剖面的3根升降銷4。又,該些升降銷4,係相互電性連接,在後述的圖6~圖8中,係使用虛線來表示該狀態。
電漿蝕刻裝置100,係具備有控制各部位之動作而讀出處理配方的裝置控制部6,根據來自該裝置控制部6的指令來控制伺服馬達5之驅動。由於伺服馬達5係屬周知,因此,關於其詳細的構成雖未圖示,但因應上述之指令的指令脈衝會被輸入至伺服放大器而由偏差計數器進行累計,以與其累計值(殘差脈衝)對應的直流電壓使馬達旋轉而升降驅動升降銷4。當馬達旋轉時,則藉由編碼器將與馬達之旋轉數成比例的回授脈衝反饋至伺服放大器,並減去偏差計數器之殘差脈衝。
針對升降銷4進行維護時,在該例子中,係在調整各升降銷4的高度位置時,使用治具(維護用治具),參閱圖2及圖3說明關於該治具。治具7,係具備有角型之容器71,容器71,係被構成為內部為例如大氣氛圍的密閉容器。為了方便說明雖示意地記載關於治具7之大小,但實際上係被設定成治具7可通過藉由閘閥17所開閉之基板搬送口的大小。容器71的底面部,係藉由導 電路徑板72所構成,該導電路徑板72,係由例如與基板G相同大小之角型的例如金屬所構成。容器71的側面及上面,係藉由例如光透過性材料即壓克力板所構成。
導電路徑板72,係升降銷4之前端所接觸的構件,在第1區域P1的周圍,係為了使該第1區域P1與其他區域即第2區域P2電性絕緣,而設置有絕緣材料73(以黑色塗滿的部位),該第1區域P1,係形成於被設置在載置台2之升降銷4中的1根所接觸之位置。換言之,導電路徑板72,係在導電性板的一部分設置有絕緣材料73者。在該實施形態中,係稱為導電路徑板72,包含有導電性部位及絕緣材料73。
在治具7的容器71內,係設置有操作電路即點燈電路74。該點燈電路74,係被構成為在導電線路連接有直流電源75、電阻76、發光部即LED77,一端及另一端,係分別連接於第1區域P1及第2區域P2。點燈電路74,係當兩端電性連接時,則形成閉迴路而LED77便亮燈。
返回至圖1,在處理容器1的側壁,係形成有光透過窗18,在光透過窗18的外側,係設置有光檢測部60。光檢測部60,係用以檢測被載置於載置台2的治具7之LED77的發光者,且以可接收來自LED77之光的方式,設定光檢測部60及光透過窗18的位置。作為光檢測部,係可兼用為檢測蝕刻之結束點的檢測器或PEMS(Plasma Emission Monitoring System)等。
裝置控制部6,係由電腦所構成,具備有記憶部61、記憶部62及記憶體63等,該記憶部61,係記憶有處理配方,該記憶部62,係記憶有用以進行升降銷4的維修即升降銷4之高度位置之調整的調整程式,該記憶體63,係包含有工作區等。64為CPU,65為匯流排。處理配方,係記憶有用以在電漿蝕刻裝置100中進行處理之程序的軟體。調整程式,係編入有包含判斷有無來自光檢測部60之檢測訊號或對伺服馬達5輸出控制訊號等的步驟群,以便執行用以進行升降銷4之高度位置的調整之後述的動作。例如在裝置控制部6,係從後述的系統控制部300輸入有決定是否進行處理或是否進行升降銷之調整的模式訊號,在處理模式訊號被輸入時,進行處理配方的讀出,在調整模式訊號被輸入時,執行調整程式。
調整程式213,係從硬碟、光碟、光磁碟、記憶卡、USB記憶體等的記憶媒體,經由例如後述的系統控制部200而安裝於裝置控制部6內。
以上所敘述的電漿蝕刻裝置100,係被組裝於例如圖4所示的基板處理系統。簡單地對基板處理系統進行說明,在收納有複數片基板G的搬送容器201被搬入至搬入搬出埠202時,則藉由大氣搬送室203內的基板搬送機構204取出搬送容器201內的基板G。而且,基板G,係從基板搬送機構204,經由裝載鎖定室205而被收授至真空搬送室206內的基板搬送機構207。在真空搬送室206,係連接有電漿蝕刻裝置100及該例的2個真空處理 裝置208、209。真空處理裝置208、209,係被構成為例如一方為蝕刻後進行加工處理的裝置,另一方為加工處理後進行成膜處理的裝置,而能進行連續處理。圖4中G1~G4,係閘閥。另外,基板處理系統,係亦可為真空搬送室206對於電漿蝕刻裝置100所專用者且未具備有真空處理裝置208、209的構成。圖4中以符號300所示的部位,係系統控制部,進行基板搬送機構204或206等的搬送控制及對各真空處理裝置100、208、209輸出指令訊號等。
其次,針對上述的電漿蝕刻裝置100,說明關於分別對複數個升降銷4調整高度之位置的維護作業。治具7的底面,係如前述般,由於與基板G相同大小,因此,將治具7收納於例如圖4所示之基板的搬送容器201內,並將該搬送容器201載置於搬入搬出埠202。而且,與基板G同樣地,以基板搬送機構204→裝載鎖定室205→基板搬送機構207→電漿蝕刻裝置100的路徑搬送治具7,藉由基板搬送機構207與升降銷4的協同作業而載置於載置台2上。另外,電漿蝕刻裝置100的處理容器1內,係被維持為真空氛圍。
圖5,係表示維護作業之一連串動作的流程圖,如前述般地執行步驟S1。又,圖6,係表示治具7被載置於載置台2上的狀態。在該狀態中,升降銷4中的1根位於治具7的導電路徑板72之區域P1的下方,在以下的說明中,係將該升降銷稱為第1升降銷,並將其他升降 銷稱為第2升降銷。而且,關於第1升降銷,係賦予符號4A,關於第2升降銷,係維持賦予符號4,關於成為動作之對象的升降銷,係賦予符號4B。
首先,事先使第1升降銷4A上升而如圖7所示地接觸於導電路徑板72的區域P1(步驟S2)。關於該情況下之接觸的判斷,係例如可如以下進行。由於當施加於伺服馬達5的負載變大時,則殘差脈衝的計數值增加,因此,裝置控制部6監視驅動第1升降銷4A之伺服馬達5之殘差脈衝的計數值,在計數值之變動值超越固定值時,被判斷為已接觸。另外,亦可藉由檢測伺服馬達5的轉矩值之變化的方式,判斷接觸。而且,由於第1升降銷4A的定位,係在後述的步驟S11而進行,因此,亦可預先求出例如自起始位置起至接觸於導電路徑板72的區域P1為止之位置的大致距離,而使其僅上升其距離。
接著,針對第2升降銷4中的1根升降銷4B,藉由伺服馬達5,以速度V1例如1分鐘數mm的速度使其上升(步驟S3)。如圖8所示,當該升降銷4B接觸於治具7的導電路徑板72時,由於第1升降銷4A與第2升降銷4B如前述般地電性連接,因此,形成直流電源75→電阻76→LED77→導電路徑板72之第1區域P1→第1升降銷4A→第2升降銷4B→導電路徑板72之第2區域P2→直流電源75的閉迴路。因此,LED77便亮燈(發光),亦即輸出相當於輸出訊號的光訊號,藉由處理容器1外的光檢測部60檢測該光訊號。而且,當檢測訊號從光 檢測部60被輸出至裝置控制部6時,裝置控制部6,係將停止指令輸出至驅動第2升降銷4B的伺服馬達5,第2升降銷4B便停止(步驟S4)。
然後,藉由裝置控制部6,以脈衝驅動來驅動伺服馬達5僅數十~數百脈衝量,使第2升降銷4B下降,直至LED77熄滅為止(步驟S5)。接著,藉由裝置控制部6,以脈衝驅動來驅動伺服馬達5,在每數個脈波使第2升降銷4B上升(步驟S6)。如前述,當第2升降銷4B接觸於導電路徑板72的區域P2時,則LED77便亮燈,檢測訊號從光檢測部60被輸出至裝置控制部6,且額外的驅動脈衝指令不會從裝置控制部6被輸出至伺服馬達5,因此,第2升降銷4B便停止(步驟S7)。
在該步驟S7中,第2升降銷4B停止時之第2升降銷的前端,係相當於載置台2之載置面的高度位置。其後,根據來自裝置控制部6的指令,驅動伺服馬達5,將第2升降銷4B設定於僅比距停止位置預先設定之距離亦即距載置台2的載置面預先設定之距離低的位置,例如比例如50μm左右低的位置。該位置為處理時之第2升降銷4B的待機位置。
在裝置控制部6中,第2升降銷4B的高度位置,係相當於以編碼器之脈衝的計數值(將第2升降銷4B位於預定位置例如最下限位置時之計數值設成為零時的計數值)所管理之一維之座標系統的座標位置。因此,第2升降銷4B的設定位置(待機位置),係作為該座標系統之 座標位置而被記憶於例如記憶體63(步驟S8)。
如此一來,在針對第2升降銷4的1根進行高度位置之調整後,亦針對其他第2升降銷4進行同樣的調整作業(步驟S9→步驟S3)。而且,當針對所有的第2升降銷4結束調整作業時,在步驟S9中成為「N」,使第1升降銷4A遠離治具,並同時使第2升降銷4中的1根接觸於治具7之導電路徑板72(第2區域P2)(步驟S10)。其後,對第1升降銷4A,執行與對前述之第2升降銷4B所進行同樣的作業(相當於步驟S3~S8之作業)(步驟S11)。以上的作業結束後,治具7,係藉由升降銷4從載置台2上被舉起而收授至圖4所示的基板搬送機構207,且藉由與搬入時相反的動作,搬出至搬入搬出埠202。
維護作業結束後,係對基板G進行電漿蝕刻處理。簡單地敘述關於該處理,在基板G被靜電吸附於載置台2之靜電夾頭22的狀態下,在從噴頭供給處理氣體後,處理氣體會藉由高頻電源13的高頻電力而被電漿化。而且,藉由高頻電源14對載置台2施加偏壓電壓,並藉由電漿對基板G進行蝕刻。進行蝕刻的期間,係各升降銷4被設定於如前述般所調整的位置(距載置面例如50μm左右下方側的位置)。
在上述的實施形態中,係將治具7從搬入搬出埠202搬入至基板處理系統內而載置於電漿蝕刻裝置1的載置台2。而且,在使第1升降銷4A接觸於治具7之 下面的狀態下,第2升降銷4B接觸於治具的下面時,治具7的LED77便亮燈(發光),藉由檢測該發光的方式來檢測升降銷4之高度位置,根據該檢測來調整升降銷4之高度位置。因此,由於可輕易地進行升降銷4之高度位置的調整作業,並且不用開放處理容器1就可進行維護,故可抑制裝置的停機時間。又,在與實際上於處理容器1內對基板G進行處理時相同的狀態下,可調整升降銷4之高度位置。亦即,在與將處理容器1內維持為真空氛圍例如基板G之處理時相同的壓力或與之接近的壓力,甚至在使載置台2之溫度與基板之處理時相同的溫度或與之接近的溫度,可調整升降銷4之高度位置。因此,可高精度地設定對基板進行處理時之升降銷的位置。
上述的實施形態中,係在很快地使第2升降銷4B上升而接觸於治具7後,一旦稍微降下,其後緩慢地上升而再度接觸於治具7,將其接觸位置識別為載置面而設定於僅比距該載置面預定距離低的位置。在很快地使第2升降銷4B上升的情況下,由於有接觸於治具7後而引起過衝之虞,因此,上述實施形態的手法(進行2次第2升降銷4B與治具7之接觸的手法),係具有可高精度地檢測載置面且迅速地進行作業全體的優點。但是,亦可藉由例如從一開始以較慢的速度使第2升降銷4B上升等的手法,將第2升降銷4B與治具7之接觸設成為僅1次。
又,在上述的實施形態中,雖係將僅比載置面更下方側預定距離低的位置設成為升降銷4之處理位置(待機位 置),但亦可將載置面的位置設成為處理位置,在該情況下,升降銷4接觸於治具7的位置便成為處理位置。
在此,根據本發明者之見解,掌握如下述者:在以高溫例如200℃以上進行處理的情況下,係事先將調整升降銷4之位置的作業時之載置台2的溫度設定成處理溫度為較佳。較佳之原因,係藉由針對升降銷4的位置(高度位置)進行調整時與處理時之間的變動被抑制。例如載置台2與升降銷4,係由於材料彼此不同,因此,兩者的熱膨脹係數不同,即便在常溫調整升降銷4的高度,當被加熱至處理溫度時,在載置面與升降銷4的相對高度亦產生誤差。因此,調整作業時之載置台2的溫度,係藉由例如設置於載置台2的溫度調整機構等,相對於處理溫度而事先設定成例如±50℃的範圍為較佳,只要在±5℃以內則更佳。然而,亦可在常溫的狀態下,對載置台2進行調整作業。
圖9,係表示治具7之其他例的底面部。該治具7,係藉由絕緣板700所構成,該絕緣板700,係底部由絕緣材料所構成,與第1升降銷4對應的區域P10,係藉由導電性構件所構成。又,關於與第2升降銷4(第1升降銷4以外的所有升降銷4)對應的區域P20亦藉由導電性構件所構成,各區域P20,係藉由形成於例如絕緣板700之表面的導電路徑701而電性連接。而且,點燈電路74之兩端分別連接於被設置在導電路徑701的端子部702與區域P10。另外,在圖9中,省略治具7的側面部、上面 部。
即便為像這樣的治具7,當在使第1升降銷4接觸於治具7的狀態下,使第2升降銷4接觸於治具7時,則LED77便亮燈,亦可獲得同樣的效果。
又,圖10,係表示治具之又一例。該治具7,係在底面部的下面之與各升降銷4對應的位置,以進入至貫通孔41內的方式,設置有突出部703。突出部703的突出量d,係設定成例如與應調整升降銷4的位置與載置面之距離對應的尺寸,例如50μm。在該情況下,使升降銷4上升而接觸於治具7的位置(例如如前述的實施形態般,2次接觸)便成為設定位置。
關於治具7,在上述的例子中,雖係將容器71內的氛圍設成為大氣氛圍,但亦可為真空氛圍。
又,在升降銷4接觸於治具7時,從治具所輸出的輸出訊號,係亦可為音波訊號例如超音波訊號,在該情況,係使用超音波元件代替LED77,並且在例如處理容器1外設置超音波檢測器,藉由該檢測訊號控制伺服馬達5。
再又前述輸出訊號,係亦可為無線電訊號,在該情況下,係使用振盪電路代替點燈電路,從連接於振盪電路之天線輸出預定頻率的頻率訊號。以檢測部檢測該頻率訊號,控制伺服馬達5。
另外,亦包含有前述輸出訊號為例如LED從亮燈狀態變成熄燈狀態或亮燈的照度發生變化等之狀態變化訊號的情況。
又,治具7,係亦可設成為以例如2根升降銷為1組而在各組每一組設置點燈電路等的構成,即便為該情況下亦可獲得同樣的效果。
在本發明中,係不限於使用治具來調整升降銷7的位置,亦可檢測升降銷4之耗損或損傷等的異常。關於該手法,參照圖11進行說明。步驟K1、K2,係相當於前述的步驟S1、S2,首先,事先使第1升降銷4A接觸於治具7。而且,將第2升降銷4中的1根即第2升降銷4B設定於最下方位置(步驟K3),並使其從該處上升直至LED77亮燈為止(步驟K4)。裝置控制部6,係計數自最下方位置起至藉由光檢測部60接收檢測訊號為止之間的伺服馬達5之編碼器的脈波數,並判斷其計數值是否超過閾值,亦即第2升降銷4B的移動量是否超過閾值(步驟K5)。只要移動量超過閾值,則判定該第2升降銷4B為異常(步驟K6),只要未超過閾值,則對其他第2升降銷4進行同樣的作業(步驟K7之YES的迴圈)。
而且,當針對所有的第2升降銷4結束檢測時,則其次將第1升降銷4A設定於最下方位置,使第2升降銷4中的1根接觸於治具7(步驟K8)。其後,進行與針對第2升降銷4所進行同樣的作業,且針對第1升降銷4A判定是否異常(步驟K9~K11)。在判斷升降銷4為異常時,係在裝置控制部6中記憶有成為異常的升降銷4,而其升降銷4(例如升降銷的編號或位置)被顯示於未圖示的顯示部。其後,更換該升降銷4。
另外,該異常檢測,係即便將從使升降銷4接觸於治具7之位置下降至預定位置例如最下方位置時的下降量設成為移動量而與閾值作比較,亦可獲得同樣的效果。
在以上中,本發明,係不限定於上述的實施形態者,可進行各種變形。
在上述的實施形態中,雖係利用伺服馬達作為使升降銷4升降的驅動部,但並不限於此,亦可使用例如步進馬達。在例如前述的步驟S3(參閱圖5)中,在利用伺服馬達的情況下,雖係以例如在1分鐘內以數mm之速度上升的方式予以控制,但在利用步進馬達的情況下,係重複驅動步進馬達僅與例如數mm的上升量對應之脈衝量的動作,直至確認LED77的亮燈為止。
又,在例如上述實施形態中,雖係說明了關於將本發明應用於平行平板型電漿蝕刻裝置的例子,但並不限於此,亦可為使用了感應耦合型等的其他電漿生成手段者。再又本發明之基板處理裝置,係不限於電漿蝕刻,亦可應用於進行電漿灰化、電漿CVD等之其他電漿處理的電漿處理裝置。再者,本發明之基板處理裝置,係不限於電漿處理裝置,亦可全盤地應用於將基板載置於載置台而進行處理的基板處理裝置。在上述的實施形態中,雖係說明了關於在載置台設置靜電夾頭22的裝置,但根據對基板之處理內容的不同而亦可省略靜電夾頭22。
又,在上述實施形態中,雖係說明了關於應用於FPD用之玻璃基板的例子,但本發明並不限於此,另可適用於 半導體基板等、其他基板。
2‧‧‧載置台
4‧‧‧升降銷
5‧‧‧伺服馬達
6‧‧‧裝置控制部
7‧‧‧治具
41‧‧‧貫通孔
60‧‧‧光檢測部
61‧‧‧記憶部
62‧‧‧記憶部
63‧‧‧記憶體
64‧‧‧CPU
65‧‧‧匯流排
71‧‧‧容器
72‧‧‧導電路徑板
73‧‧‧絕緣材料
74‧‧‧點燈電路
75‧‧‧直流電源
76‧‧‧電阻
77‧‧‧LED
P1‧‧‧第1區域
P2‧‧‧第2區域

Claims (13)

  1. 一種基板處理裝置,係在處理容器內之載置台載置基板而進行處理,該基板處理裝置,其特徵係,具備有:複數個升降銷,被構成為可對前述載置台的載置面突出/沒入自如且用以進行基板之收授;驅動部,用以使前述升降銷升降;檢測部,在檢測到從被載置於前述載置台之治具所輸出的輸出訊號時,輸出檢測訊號;及控制部,根據前述檢測訊號來控制前述驅動部,前述治具,係具備有:電路,在前述複數個升降銷所含之第1升降銷及第2升降銷分別與該治具的下面接觸時,電性地形成閉迴路而輸出輸出訊號,前述控制部,係被構成為執行:使前述第1升降銷接觸於被載置在前述載置台之治具的下面之步驟;其次,使前述第2升降銷上升之步驟;及在檢測訊號從前述檢測部被輸出時,使第2升降銷停止之步驟,前述第1升降銷與第2升降銷,係至少在使用治具進行接觸之檢測操作時為電性相互連接的狀態。
  2. 如申請專利範圍第1項之基板處理裝置,其中,前述控制部,係被構成為執行如下述之步驟:在使前述第2升降銷停止的步驟後,使該第2升降銷僅下降預先設定的設定量,並將下降時的高度位置記憶於記憶部。
  3. 如申請專利範圍第1項之基板處理裝置,其中,前述控制部,係被構成為執行:在使前述第2升降銷停止的步驟後,使該第2升降銷下降而成為停止前述治具的輸出訊號之狀態的步驟;其次,直至檢測訊號從前述檢測部被輸出為止,以比先進行之第2升降銷上升時之速度慢的速度,使該第2升降銷上升的步驟;及其次,使該第2升降銷僅下降預先設定的設定量,並將下降時的高度位置記憶於記憶部的步驟。
  4. 如申請專利範圍第1~3項中任一項之基板處理裝置,其中,前述控制部,係被構成為執行步驟群,該步驟群,係用以在使前述第1升降銷接觸於治具的下面後,對相當於第1升降銷以外之第2升降銷的複數個升降銷,分別依序進行如申請專利範圍第1~3項中任一項所記載的操作。
  5. 如申請專利範圍第1~3項中任一項之基板處理裝置,其中,前述控制部,係被構成為執行與在使前述第2升降銷接觸於治具之下面的狀態下,對前述第1升降銷使用前述第2升降銷所進行同樣的步驟。
  6. 如申請專利範圍第1~3項中任一項之基板處理裝 置,其中,從前述治具所輸出的輸出訊號,係光訊號、音波訊號及無線電訊號之任一。
  7. 如申請專利範圍第1~3項中任一項之基板處理裝置,其中,具備有:異常判定部,在使第1升降銷及第2升降銷之一方接觸於治具的狀態下,測定將另一方接觸於預先設定的位置與治具的位置之間的移動距離,測定到的移動距離超過閾值時,判定該另一方之升降銷為異常。
  8. 一種維護用治具,係如申請專利範圍第1~7項中任一項之基板處理裝置所使用,該維護用治具,其特徵係,具備有:電路,在前述複數個升降銷所含之第1升降銷及第2升降銷分別與該治具的下面接觸時,電性地形成閉迴路而輸出輸出訊號。
  9. 一種基板處理裝置之維護方法,係對具備有載置台與複數個升降銷之基板處理裝置進行維護的方法,該載置台,係為了在用以處理基板的處理容器內載置基板所設置,該複數個升降銷,係被構成為藉由驅動部所致之升降,可對前述載置台的載置面突出/沒入自如且用以進行基板之收授,該方法,其特徵係,包含有: 將具備有電路的治具載置於前述載置台之工程,該電路,係在前述複數個升降銷所含之第1升降銷及第2升降銷分別與其下面接觸時,電性地形成閉迴路而輸出輸出訊號;使前述第1升降銷接觸於被載置在前述載置台之治具的下面之工程;及其次,在使前述第2升降銷上升,而檢測部檢測到從前述治具所輸出的輸出訊號時,使第2升降銷停止之步驟,前述第1升降銷與第2升降銷,係至少在使用治具進行接觸之檢測操作時為電性相互連接的狀態。
  10. 如申請專利範圍第9項之基板處理裝置之維護方法,其中,進行如下述之工程:在使前述第2升降銷停止的工程後,使該第2升降銷僅下降預先設定的設定量,並將下降時的高度位置記憶於記憶部。
  11. 如申請專利範圍第9項之基板處理裝置之維護方法,其中,進行如下述之工程:在使前述第2升降銷停止的工程後,使該第2升降銷下降而成為停止前述治具的輸出訊號之狀態的工程;其次,直至檢測訊號從前述檢測部被輸出為止,以比先進行之第2升降銷上升時之速度慢的速度, 使該第2升降銷上升的工程;及其次,使該第2升降銷僅下降預先設定的設定量,並將下降時的高度位置記憶於記憶部的工程。
  12. 如申請專利範圍第9~11項中任一項之基板處理裝置之維護方法,其中,將前述治具載置於前述載置台的工程,係藉由用以搬送基板之搬送機構與前述升降銷的協同作業而進行。
  13. 一種記憶媒體,係記憶有具備載置台與複數個升降銷之基板處理裝置所使用的電腦程式,該載置台,係為了在用以處理基板的處理容器內載置基板所設置,該複數個升降銷,係被構成為藉由驅動部所致之升降,可對前述載置台的載置面突出/沒入自如且用以進行基板之收授,該記憶媒體,其特徵係,前述電腦程式,係編入有步驟群,以便執行如申請專利範圍第9~12項中任一項之基板處理裝置之維護方法。
TW106118893A 2016-06-17 2017-06-07 基板處理裝置、維護用治具、基板處理裝置之維護方法及記憶媒體 TWI723173B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016-121128 2016-06-17
JP2016121128A JP6651994B2 (ja) 2016-06-17 2016-06-17 基板処理装置、メンテナンス用治具、基板処理装置のメンテナンス方法及び記憶媒体

Publications (2)

Publication Number Publication Date
TW201810517A TW201810517A (zh) 2018-03-16
TWI723173B true TWI723173B (zh) 2021-04-01

Family

ID=60688494

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106118893A TWI723173B (zh) 2016-06-17 2017-06-07 基板處理裝置、維護用治具、基板處理裝置之維護方法及記憶媒體

Country Status (4)

Country Link
JP (1) JP6651994B2 (zh)
KR (1) KR102002215B1 (zh)
CN (1) CN107527837B (zh)
TW (1) TWI723173B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7329960B2 (ja) * 2019-05-14 2023-08-21 東京エレクトロン株式会社 載置台およびプラズマ処理装置
JP2023032647A (ja) * 2021-08-27 2023-03-09 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法および記録媒体

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030139852A1 (en) * 2002-01-22 2003-07-24 Tokyo Electron Limited Method of determining retreat permission position of carrier arm and teaching device thereof
JP2007273685A (ja) * 2006-03-31 2007-10-18 Tokyo Electron Ltd 基板載置台および基板処理装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6403322B1 (en) * 2001-03-27 2002-06-11 Lam Research Corporation Acoustic detection of dechucking and apparatus therefor
JP4522139B2 (ja) * 2003-09-19 2010-08-11 大日本スクリーン製造株式会社 基板処理ユニット、基板載置状態検出方法および基板処理装置
KR101350145B1 (ko) * 2006-11-29 2014-01-09 엘아이지에이디피 주식회사 리프트 핀을 이용한 기판 유무 판별장치 및 이를 이용한기판 반입방법과 검사방법
US7750818B2 (en) * 2006-11-29 2010-07-06 Adp Engineering Co., Ltd. System and method for introducing a substrate into a process chamber
KR20080048674A (ko) * 2006-11-29 2008-06-03 주식회사 에이디피엔지니어링 리프트 핀을 이용한 기판 유무 판별장치 및 이를 이용한기판 반입방법과 검사방법
CN101872733B (zh) * 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
JP5141707B2 (ja) * 2010-03-24 2013-02-13 株式会社安川電機 被処理体の支持機構、支持方法およびそれを備えた搬送システム
JP5868228B2 (ja) * 2012-03-12 2016-02-24 住友重機械工業株式会社 基板保持装置及び基板保持方法
JP6383647B2 (ja) * 2014-11-19 2018-08-29 東京エレクトロン株式会社 測定システムおよび測定方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030139852A1 (en) * 2002-01-22 2003-07-24 Tokyo Electron Limited Method of determining retreat permission position of carrier arm and teaching device thereof
JP2007273685A (ja) * 2006-03-31 2007-10-18 Tokyo Electron Ltd 基板載置台および基板処理装置

Also Published As

Publication number Publication date
JP2017224792A (ja) 2017-12-21
CN107527837B (zh) 2020-11-24
TW201810517A (zh) 2018-03-16
JP6651994B2 (ja) 2020-02-19
KR102002215B1 (ko) 2019-07-19
CN107527837A (zh) 2017-12-29
KR20170142889A (ko) 2017-12-28

Similar Documents

Publication Publication Date Title
US20230282461A1 (en) Focus ring replacement method and plasma processing system
TWI769237B (zh) 位置檢測系統及處理裝置
JP4597894B2 (ja) 基板載置台および基板処理装置
JP6650841B2 (ja) 基板昇降機構、基板載置台および基板処理装置
US20110132873A1 (en) Substrate processing apparatus, method for measuring distance between electrodes, and storage medium storing program
JP2013045817A (ja) 真空処理装置および真空処理方法
KR101961988B1 (ko) 기판 처리 장치, 리프트 핀의 높이 위치 검지 방법, 리프트 핀의 높이 위치 조절 방법 및 리프트 핀의 이상 검출 방법
JP2019176031A (ja) プラズマ処理装置、及び被処理体の搬送方法
TWI723173B (zh) 基板處理裝置、維護用治具、基板處理裝置之維護方法及記憶媒體
TWI668791B (zh) 基板處理設備及使用該基板處理設備的基板處理方法
TWI816890B (zh) 電漿處理裝置及環構件之厚度測定方法
CN110243273B (zh) 测定器和用于检查聚焦环的系统的动作方法
TW202041107A (zh) 電漿處理裝置及環構件之位置偏移測定方法
JP2011192676A (ja) 基板処理装置、積層半導体装置製造方法及び積層半導体装置
KR20200060137A (ko) 포커스 링 높이 제어 장치 및 이를 구비하는 기판 식각 장치
JP7445532B2 (ja) 実行装置及び実行方法
KR20090028126A (ko) 플라즈마 처리 장치
KR102675696B1 (ko) 플라스마 처리 장치의 이상 검지 방법 및 플라스마 처리 장치
KR20070067894A (ko) 기판감지센서를 구비하는 기판처리장치 및 이를 이용한기판 슬라이딩감지방법
TW202233025A (zh) 電漿處理裝置之異常檢測方法及電漿處理裝置
TW202247338A (zh) 基片對準裝置、基片處理系統及傳送機構位置調整方法
TW202331869A (zh) 基板搬送位置之偏移量檢測方法及基板處理裝置
CN117378286A (zh) 等离子体监控系统、等离子体监控方法及监控装置
KR20200059909A (ko) 기판 처리 장치 및 기판 처리 방법
KR20050111426A (ko) 평판 디스플레이 패널 제조 장치 및 그 방법