TWI721033B - 對基底進行摻雜與對半導體裝置進行摻雜的方法以及對基底進行摻雜的系統 - Google Patents

對基底進行摻雜與對半導體裝置進行摻雜的方法以及對基底進行摻雜的系統 Download PDF

Info

Publication number
TWI721033B
TWI721033B TW105136772A TW105136772A TWI721033B TW I721033 B TWI721033 B TW I721033B TW 105136772 A TW105136772 A TW 105136772A TW 105136772 A TW105136772 A TW 105136772A TW I721033 B TWI721033 B TW I721033B
Authority
TW
Taiwan
Prior art keywords
substrate
doping
dopant
helium
annealing
Prior art date
Application number
TW105136772A
Other languages
English (en)
Other versions
TW201732868A (zh
Inventor
克里斯多夫R. 漢特曼
克里斯多福A. 羅蘭德
Original Assignee
美商瓦里安半導體設備公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商瓦里安半導體設備公司 filed Critical 美商瓦里安半導體設備公司
Publication of TW201732868A publication Critical patent/TW201732868A/zh
Application granted granted Critical
Publication of TWI721033B publication Critical patent/TWI721033B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Abstract

本發明提供一種對基底進行摻雜與對半導體裝置進行摻 雜的方法以及對基底進行摻雜的系統。所述方法可包括在300℃或高於300℃的植入溫度下經由所述基底的表面將一定劑量的氦物質植入至所述基底中。所述方法可進一步包括在所述基底的所述表面上沉積含有摻雜劑的摻雜層,以及在退火溫度下對所述基底進行退火,所述退火溫度高於所述植入溫度。

Description

對基底進行摻雜與對半導體裝置進行摻雜的方 法以及對基底進行摻雜的系統
本發明的各實施例涉及改善擴散的方法,且更具體來說,涉及對基底進行摻雜的方法。
隨著例如邏輯裝置及記憶體裝置等半導體裝置持續按比例縮減成較小的尺寸,使用傳統處理方式及材料來製作半導體裝置的問題日益突出。在一個實例中,已研究出對半導體結構進行摻雜的新方式以取代離子植入。舉例來說,在最小裝置尺寸大約為20 nm或低於20 nm的摻雜裝置結構中,由離子植入造成的殘留損傷可能是無法接受的。因此,已開發出例如通過從所沉積層進行的熱驅動外擴散(thermally-driven outdiffusion)來對基底的目標區進行摻雜的技術。如當前所實踐,這種方法可因注入至目標區中的一定量的摻雜劑中的熱預算(thermal budget)考慮以及摻雜劑的活性而受限制。
針對這些及其他的考慮內容提供了本發明。
提供此發明內容是為了以簡化形式介紹以下在具體實施方式中進一步闡述的一系列所選概念。此發明內容並非旨在辨識所主張主題的關鍵特徵或本質特徵,本發明內容也不旨在説明確定所主張主題的範圍。
在一個實施例中,一種對基底進行摻雜的方法可包括在300℃或高於300℃的植入溫度下經由所述基底的表面將一定劑量的氦物質植入至所述基底中。所述方法可進一步包括在所述基底的所述表面上沉積含有摻雜劑的摻雜層;以及在退火溫度下對所述基底進行退火,所述退火溫度高於所述植入溫度。
在另一實施例中,一種對半導體裝置進行摻雜的方法可包括在高於300℃的植入溫度下經由所述基底的表面將一定劑量的氦植入至基底中,所述一定劑量的氦包括為5E15/cm2 或高於5E15/cm2 的劑量。所述方法可進一步包括在所述基底的所述表面上沉積含有摻雜劑的摻雜層,所述摻雜層具有小於1 nm的厚度;並在高於600℃的退火溫度下對所述基底進行退火。
在另一實施例中,一種對基底進行摻雜的系統可包括:轉移腔室,用以容納及轉移基底;熱植入腔室,耦合至氦源並耦合至所述轉移腔室。所述熱植入腔室可包括:電漿產生器,產生氦離子;以及基底加熱器,產生300℃或高於300℃的基底溫度。所述系統可進一步包括摻雜劑沉積腔室,所述摻雜劑沉積腔室耦合至摻雜劑源及所述轉移腔室,所述摻雜劑沉積腔室向所述基底提供摻雜劑。所述系統也可包括退火腔室,所述退火腔室耦合至所述轉移腔室並具有加熱器,所述加熱器產生至少600℃的基底溫度。
現將在下文中參照其中示出某些實施例的附圖來更充分地闡述本發明各實施例。本發明的主題可被實施為許多不同的形式且不應被視為僅限於本文中所提出的各實施例。提供這些實施例是為了使此公開內容將透徹及完整,並將向所屬領域中的技術人員充分傳達所述主題的範圍。在所有圖式中,相同的號碼指代相同的元件。
在本發明各實施例中,本發明人已驗證出用於在不損傷基底的條件下促進基底的摻雜劑擴散的新穎方式。在各種實施例中,當基底的植入溫度處於高於室溫的溫度範圍中時,可將一定劑量的氦植入至基底中。以將摻雜劑材料沉積於基底上結合提供所述一定劑量的氦的方式可實現以下的效果:改善基底的摻雜劑擴散;在基底內活化摻雜劑;不在基底內產生殘留缺損,從而實現對擴散的無損強化。
圖1A至圖1H說明根據本發明各實施例的在處理基底的過程中所涉及的示例性特徵。在某些實施例中,可在不同的處理工具中執行圖1A至圖1F中所說明的操作,而在其他實施例中,可在例如群集工具等具有多個用於執行不同操作的處理腔室的給定集成工具內執行各操作。具體轉至圖1A,圖1A示出提供基底102的第一實例。在各種實施例中,基底102可為例如矽、鍺、碳化矽(SiC)或矽:鍺合金等半導體材料。在其他實施例中,所述基底可包括熟知的III-V族化合物半導體(例如GaAs、InGaAs)或II-VI族化合物半導體(例如CdTe)。具體來說,基底102一般可具有由此項技術中熟知的晶體晶格(crystalline lattice)表徵的單晶體(monocrystalline)結構。所述各實施例並非僅限於此上下文。儘管基底102被示出為具有平面構造,然而在各種實施例中,基底102可包括呈現出相對於彼此以不同角度延伸的表面的特徵,例如三維(three dimensional,3D)電晶體裝置。三維裝置的例子包括鰭式場效電晶體(finFET)裝置、環柵(gate-all-around,GAA)電晶體裝置、水準環柵(horizontal GAA,HGAA)裝置及其他裝置。所述實施例並非僅限於此上下文。在圖1A至圖1F所示的例子中,對基底102的特定區進行的摻雜。在不同的實施例中,所述摻雜操作可為例如以下幾個區的代表性操作:電晶體的隔離區、源極/汲極延伸區或源極/汲極接觸區。
如圖1A中所示,基底102可包括欲在進行摻雜之前被移除的表面層104。表面層104可為天然氧化物層或在某些實例中為化學氧化物層。在各種實施例中,表面層104被暴露至蝕刻劑106。在一個例子中,蝕刻劑106代表從氫電漿獲得的物質,其中蝕刻劑在基底102保持在低壓下時衝擊基底102。可施加熱108至基底102以將基底溫度提升至目標範圍,從而促進對表面層104的蝕刻。在用於在矽基底上蝕刻氧化物層的一個例子中,基底102可在介於400℃與500℃之間的基底溫度下(且具體來說,在450℃的基底溫度下)經受氫電漿的蝕刻。所述暴露的持續時間可足以移除表面層104。在其他實施例中,可採用其他熟知的用於蝕刻氧化物的蝕刻劑。
現轉至圖1B,圖1B示出植入操作,其中可在圖1A中所示的操作之後執行所述植入操作。在某些例子中,在圖1A所示的蝕刻操作之後執行所述植入操作,而在圖1A所示的操作與圖1B所示的操作之間,不使基底102暴露至周圍氣氛。在各種實施例中,基底102被暴露至氦物質114,其中氦物質114被引導至表面110。在這個例子中,可在移除表面層104之後暴露出表面110。可以目標能量及目標劑量將氦物質114引導至基底102的表面110以促進後續摻雜製程。氦物質114可例如包含具有500 eV至5000 eV能量的氦離子,且氦物質114可以包括為5E15/cm2 至1E17/cm2 He的劑量被引導至基底102。所述各實施例並非僅限於此上下文。
如圖1B中進一步示出,可在暴露至氦物質114的期間將熱112供應至基底102。在各種實施例中,在對基底102進行加熱以維持高於室溫(25℃)的植入溫度的同時,經由表面110而將氦物質114植入至基底102中。舉例來說,在各種實施例中,植入溫度可高於300℃的範圍且具體來說可介於300℃與600℃之間的範圍內。在特定實施例中,植入溫度可在介於近似400℃與近似500℃之間的範圍中進行設定。所述各實施例並非僅限於此上下文。
現轉至圖1C,圖1C示出在圖1B所示操作之後的基底102的實例。可鄰近表面110而在基底102中形成變質層(altered layer)120。如以下所詳述,變質層120可通過促進摻雜劑在整個表面110內擴散來強化對基底102進行的摻雜。具體來說,變質層120可強化對基底進行的摻雜而不會在完成摻雜製程之後對基底造成殘留損傷。
現轉至圖1D,圖1D示出將摻雜層122沉積於基底102的表面110上的操作。在這個例子中,在形成變質層120之後沉積摻雜層122,而在某些實施例中,可在執行氦的植入以生成變質層120之前沉積摻雜層122。在各種實施例中,可在氦的植入之後在基底102上形成摻雜層122,而不會使基底102暴露至周圍氣氛。摻雜層122可包括例如砷、硼、磷或矽等用於對基底102進行摻雜的適合的摻雜劑。所述各實施例並非僅限於此上下文。可使用例如化學氣相沉積(chemical vapor deposition,CVD)等熟知的技術來沉積摻雜層122。可以適合的厚度來沉積摻雜層122以在基底102內生成目標經摻雜區。在某些實施例中,摻雜層122可具有介於0.1 nm與3 nm之間的厚度。所述各實施例並非僅限於此上下文。作為例子,0.1 nm厚的As層可有助於將基底102的目標區(例如10 nm厚的區)摻雜至適合的水準。
現轉至圖1E,圖1E示出在圖1D所示的操作之後的操作。在這個操作中,在摻雜層122上沉積罩蓋層124。罩蓋層124可有助於在被執行用於從摻雜層122推進摻雜劑並活化所述摻雜劑的後續處理期間幫助使摻雜劑餘留。罩蓋層124可由此項技術中熟知的適合在高溫摻雜劑退火期間使用的例如氮化矽等材料形成。罩蓋層124可例如在室溫下沉積,以在後續處理之前使摻雜劑移動最小化。在某些例子中,可在形成摻雜層122之後形成罩蓋層124而同時不會使基底102暴露至周圍氣氛。
現轉至圖1F,圖1F示出使基底102經受高溫退火來推進摻雜劑並使摻雜層122的摻雜劑活化的後續操作。這一後續操作是以對基底102提供熱126而示意性地示出。合宜的退火溫度的例子可隨著摻雜劑類型及半導體材料的類型而變化。用於對矽基底進行退火的適合的退火溫度的某些例子為高於800℃的溫度,例如900℃至1000℃。用於對除矽之外的半導體基底(例如III-V族化合物半導體基底)進行退火的適合的退火溫度的某些例子為600℃、700℃或高於700℃的溫度。如此項技術中所熟知,可通過爐內退火或使用快速熱處理設備來進行退火。活化退火的持續時間可根據退火溫度而變化,舉例來說,持續時間可隨著退火溫度升高而減少。執行快速熱退火對推進並活化摻雜劑來說可尤其有用,其中在設定溫度下的退火時間小於10秒。所述各實施例並非僅限於此上下文。舉例來說,可執行將基底以目標加熱速率從室溫加熱至目標溫度的快速熱退火,其中溫度升高速率為50 ℃/s或大於50 ℃/s。所述各實施例並非僅限於此上下文。在矽基底的情形中,用於這種快速熱退火的目標溫度可為900℃、950℃或1000℃。所述各實施例並非僅限於此上下文。
如圖1F中示意性地說明,以升高的溫度進行退火可產生擴散摻雜劑128(由向下的箭頭示出)。擴散摻雜劑128可擴散至變質層120中。此外,擴散摻雜劑128可在基底102的晶體晶格內的某些部位內沉澱。具體來說,擴散摻雜劑128可擴散至設置於變質層120中的活性部位中。如圖1F中進一步示出,外擴散摻雜劑129可朝罩蓋層124向外擴散。外擴散摻雜劑129的相對量可不同於擴散摻雜劑128的量。外擴散摻雜劑129的相對量亦可隨著罩蓋層124的成分而變化。舉例來說,砷可更加快速地擴散至氧化物罩蓋層中,而不會這般容易地擴散至氮化物罩蓋層中。
在某些實施例中,可省略圖1E所示的操作,在所述實施例中針對圖1F所大體論述的退火是在無罩蓋層的情況下進行。在這種情形中,摻雜層122中的摻雜劑的一部分可從基底102蒸發。
現轉至圖1G,圖1G示出在圖1F所示的退火操作之後的後續實例。在這一階段,基底102包括鄰近表面110的經摻雜層132。罩蓋層124也可餘存某些摻雜劑。在圖1H中所示的後續操作中,可例如通過適合於罩蓋層124的給定材料的熟知的選擇性蝕刻製程來移除罩蓋層124。被示出為經摻雜層132的經高度摻雜的區可處於進行進一步處理的狀態。舉例來說,在經摻雜層132形成於源極區/汲極區中的實施例中,可隨後形成例如矽化物等金屬觸點來與經摻雜層132的區中的基底102接觸。
根據各種實施例,經摻雜層132可具有比由熟知的處理技術實現的水準高的活性摻雜劑的濃度。在將摻雜劑推進至基底102中之前通過向基底102中提供熱氦植入,變質層120可促進摻雜劑在形成於表面110處的整個介面內的擴散。
在示例性實驗中,本發明人已發現用於在引入摻雜劑之前製備基底的植入條件,其中相比於熟知的處理技術,所述植入條件實質上會強化摻雜劑在整個基底介面內的擴散以及摻雜劑的活化。圖2示出矽基底的次級離子質譜(SIMS)測量的結果,所述結果說明在推進摻雜劑時氦植入的效果。所示出的一系列曲線代表對各種不同的實驗條件來說,相對於矽表面(0 nm深度)的As深度輪廓。在所有例子中,在1000℃下執行快速熱退火5s之前將a<1 nm的As層沉積於單晶矽的表面上。曲線204代表沒有氦被植入至基底中的控制條件。如圖中所示,曲線204示出位於靠近矽的表面處的砷的分佈。舉例來說,峰值濃度為約5E20/cm2 且其中濃度達1E18/cm2 的深度為近似13 nm。這個例子中砷的總餘存劑量為2.63E14/cm2 。曲線202代表在砷的沉積及後續退火之前以1 keV的離子能量執行室溫氦植入至1E15/cm2 的劑量時砷的分佈。在這個例子中,1E18/cm2 砷濃度處的深度為12 nm,而總餘存劑量為2.5E14/cm2 。這個結果指明相比於不進行植入來說,在1E15/cm2 的水準下進行室溫氦植入對提高基底的砷擴散並不有效。曲線206代表當在砷沉積及退火之前在室溫下將氦植入至1E16/cm2 的劑量時的砷的分佈。在這個例子中,在退火之後氦的植入使得砷的總餘存劑量為7.25E14/cm2 ,與零劑量氦植入或1E15/cm2 氦植入相對照,其在餘留方面增長了近3番(3-fold)。不利地,曲線206在比表面低大於12 nm的深度處表現出尾部(tail),其中所述尾部具有比其他情形中更淺緩的斜率。As的濃度不會降至1E18/cm2 ,除非深度低於表面近似18 nm。
曲線208代表在根據本發明實施例執行氦植入之後的As濃度。在這個例子中,在砷沉積及退火之前在450℃下將氦植入至1E16/cm2 的劑量。在這個例子中,在退火之後,熱氦植入使得砷的總餘留劑量為5.09E14/cm2 ,與零劑量氦植入或1E15/cm2 氦植入相對照,其在餘留方面增長了2番(2-fold)。As的濃度相對於深度的斜率與曲線202及曲線204相似,而在低於表面近似18 nm的深度處的濃度達1E18/cm2
在植入、砷沉積及退火之後,對與曲線202至曲線208對應的樣本額外地執行了薄層電阻(sheet resistance)測量。在與曲線204對應的不進行氦植入的情形中,根據表面探針測量記錄的薄層電阻過高。在與曲線202對應的室溫氦植入達1E15/cm2 的劑量的情形中,所測量的Rs為22,000 Ohm/Sq。這個電阻值表明注入於矽基底中的砷未完全活化。換句話說,對於2.5E14/cm2 的餘留砷劑量來說,當餘留砷劑量中的大部分(例如50%)被活化時,預期薄層電阻實質上低於22,000 Ohm/Sq。在與曲線206對應的室溫氦植入達1E16/cm2 的劑量的情形中,所測量的Rs為3,500 Ohm/Sq。這個電阻值也表明注入於矽基底中的砷未完全活化。換句話說,對於7.25E14/cm2 的餘留砷劑量來說,當餘留砷劑量中的大部分(例如50%)被活化時,預期薄層電阻實質上低於3,500 Ohm/Sq。在與曲線208對應的450℃氦植入達1E16/cm2 的劑量的情形中,所測量的Rs為300 Ohm/Sq。這個電阻值表明相比於與曲線306對應的在室溫下植入相同氦劑量的樣本,砷的活化程度高得多。據粗略估計,對於以1E16/cm2 劑量進行的熱氦植入來說,相對於對應的室溫氦植入,砷的活化可提高近似約10的因數。具體來說,在進行退火之後砷的餘存量相比於為1E16/cm2 的室溫氦植入劑量略低(5E14/cm2 )時,所述電阻降低12的因數。在各種實施例中,基底中的摻雜劑的活化水平可比當植入溫度為室溫時基底中的摻雜劑的第二活化水平高至少5倍。
圖3A、圖3B及圖3C分別呈現對應於曲線202、曲線206及曲線208的樣本的剖視電子顯微圖。如圖3A中所示,其中在砷推進退火之前基底312在室溫下被植入以1E15/cm2 的氦劑量,在表面314附近可看見高集中度的缺損316(暗區),其中缺損還進一步低於表面314延伸。在圖3B中,其中在砷推進之前基底322在室溫下被植入以1E16/cm2 的氦劑量,在表面324附近可看見大尺寸的缺損326,其中缺損還進一步低於表面324延伸。在圖3C中,其中在砷推進之前基底332在450℃下被植入以1E16/cm2 的氦劑量,在表面334附近的區336中未看見缺損。此外,基底332在進一步低於表面334的距離處未展現出可見的缺損。
在不對任何特定機制進行限制的條件下,半導體基底的摻雜劑擴散的提高及摻雜劑的活化改善可為由熱氦植入所引起的各特徵相組合的結果。舉一例來說,熱氦植入可在例如矽等單晶體半導體材料的半導體晶格內引入空位(vacancy)。可在例如300℃至500℃等適合的溫度範圍下,且以例如為5E15/cm2 至1E17/cm2 的範圍的氦植入劑量,以處於200 eV至20 keV範圍中的離子能量將高集中度的空位引入至僅低於晶體基底的表面的晶體晶格中,而不會產生非晶區。這些空位可發揮作用來提高晶體晶格中的摻雜劑擴散,以對摻雜劑進行熱擴散,同時也為摻雜劑的活化提供部位。
即使在基底被暴露至大劑量(例如1E16/cm2 或大於1E16/cm2 )的氦時,通過在植入期間將基底溫度維持在足夠高的水準便可避免形成非晶層。作為非限制性例子,可在超過450℃的溫度下將1E17/cm2 劑量的氦引導至基底。在450℃的基底溫度下植入以1E17/cm2 劑量的氦之後,同時在500℃的基底溫度下,可在不引起殘留損傷的條件下將估計上達2E17/cm2 劑量的氦植入至基底中。在執行高溫退火來推進並活化摻雜劑並且使非晶區重新結晶之後,避免產生未植入的非晶層也可使得避免在低溫下植入的基底中形成不需要的缺陷。回顧圖2及圖3B,其中在推進退火之後,1E16/cm2 的氦的室溫植入生成相對大量的餘存砷摻雜劑(7.25E14/cm2 ),而樣本示出殘留缺陷及比在450℃下被植入以相同劑量的氦的樣本低得多的摻雜活化。
此外,通過將基底溫度維持為低於缺陷實質上被消除的溫度範圍,空位生成在強化擴散及活化方面的益處可得以保存。舉例來說,當基底溫度維持為高於550℃至600℃時,在高溫植入期間,空位與間隙缺陷(interstitial defect)可以快速速率組合,從而使得在植入製程完成之後存在的殘留空位的數目少得多。
在氦植入期間將植入溫度維持在近似300℃至500℃的範圍中的另一特徵是在植入製程期間動態地推出氦的能力。這樣一來,在高溫植入之後所存留的氦的濃度可為最少的。
在各種實施例中,在圖1A至圖1H中大體概括出的操作可應用於改善例如鰭式場效電晶體等3D裝置中的觸點電阻。圖4A以橫截面示出在用於向鰭式場效電晶體的源極/汲極形成接觸區的摻雜製程之前的鰭式場效電晶體裝置400的大體特徵。圖4B示出在與圖1E大體對應的實例中的圖4A所示結構的一部分的近視圖。具體來說,在圖4A中,已根據熟知技術從基底基底區406中形成被示出為鰭402的鰭結構。還在各鰭402之間形成隔離部408,其中僅暴露出鰭402的頂部部分。通過將適合的摻雜水準引入至鰭402中,鰭402的頂部部分可被用作欲被接觸材料接觸的源極區/汲極區。對於先進技術節點(例如鄰近的鰭結構之間的間距為15 nm或小於15 nm的節點)來說,通過經沉積摻雜層(例如含有摻雜劑的膜)的熱擴散進行的摻雜可有助於避免在使用離子植入來摻雜鰭時形成過量的缺陷。因此,根據本發明的各實施例,可應用圖1A至圖1E所示的操作來製備用於進行摻雜的鰭。
由高溫氦植入提供的活化及擴散改善的結果(參見圖1B)是使用較薄的摻雜劑層來充當鰭的摻雜劑源的能力。舉例來說,0.1 nm的砷層可提供充分量的砷來達到目標砷注入及摻雜劑活化水平以在寬度W為20 nm或小於20 nm的窄的鰭中形成低接觸電阻觸點。本實施例中所使用的這個較薄的砷層與不使用熱氦操作而執行的熟知技術形成對比,其中,如以上所論述,熟知技術可使用處於上達2 nm範圍中的砷層厚度來補償較低效的砷活化。
使用由本發明各實施例所供給的較薄的摻雜劑層的結果是:隨著鄰近的鰭之間的節距減小,通過從摻雜劑層擴散而進行的摻雜的可按比例縮放性(scalability)提高。舉例來說,具體參照圖4B,用於執行鰭的摻雜的退火製程可規定罩蓋層的最小厚度(例如2 nm)以確保恰當地推進摻雜劑並使摻雜劑損失在退火期間保持在可接受水準。舉一個例子,鄰近的鰭(即,鰭402)的各側壁404之間的間距S可為7 nm。如在圖4B中進一步示出時,摻雜層412已形成於鰭402的側壁404上。欲通過在側壁404的整個表面內推進摻雜層412的摻雜劑並將所述摻雜劑推進至鰭402的主體中來使用摻雜層412作為鰭402的摻雜源。在一個例子中,摻雜層412可為砷層且摻雜層412的厚度T可為0.1 nm。因此,沿水平方向將鄰近的摻雜劑層間隔開的距離D可為近似6.8 nm。在這種情景中,(沿水平方向)具有2 nm厚度的罩蓋層410可易於沿兩個鄰近的側壁(側壁404)形成。若摻雜層412的厚度T如在傳統製程中般被規定為2 nm,則D為3 nm(=7 nm- 2 nm -2 nm)。在稍後的這個情景中,在兩個鄰近的鰭側壁之間形成具有2 nm厚度的罩蓋層410可成問題。此外,可因缺乏容置2 nm厚的摻雜劑層及2 nm厚的罩蓋層的空間而排除進一步按比例縮減至更小的鰭間隔(例如5 nm)。
根據不同的實施例,用於使用熱氦植入實現強化的摻雜劑擴散及活化的製程視窗可根據植入離子能量及基底材料而變化。舉例來說,用於植入氦的最佳植入溫度可在矽基底與矽:鍺基底之間有所變化。此外,儘管本文中詳述了砷摻雜的例子,然而本發明各實施例涵蓋使用包括p型摻雜劑(例如硼)的其他摻雜劑材料進行的摻雜。
圖5繪示根據本發明各實施例的處理裝置的例子(示出為系統500)。圖5具體呈現系統500的俯視平面圖(X-Y平面)。系統500可尤其有助於或專用於執行如上文中所公開的在升高的溫度下採用氦植入進行的基底摻雜製程。系統500可被配置成群集工具,所述群集工具包括裝載鎖(load lock)502及用於將基底520傳送至各個處理腔室中的轉移腔室504。使用群集工具來執行多個操作的優點是避免在各操作之間打破真空,亦即在各操作之間基底不會被暴露至(群集工具外部的)周圍氣氛,其中可在真空條件下、在低壓條件下或在指定氣體的受控壓力下執行獨立的操作。系統500可包括蝕刻腔室506以執行基底清洗,例如移除天然氧化物層。蝕刻腔室506可耦合至氣態蝕刻劑源532,其中蝕刻腔室506產生高溫電漿蝕刻物質來蝕刻來自基底的材料,或在某些實施例中採用其他氣態蝕刻劑來蝕刻基底。電漿蝕刻物質的例子包括對蝕刻氧化物尤其有用的氫、NF3 、CL2 及其他熟知的活性蝕刻化學物質。
系統500可進一步包括熱植入腔室508,熱植入腔室508耦合至氦源518。在各種實施例中,熱植入腔室508可提供氦電漿,所述氦電漿產生用於植入至基底520中的具有適合的能量的氦離子。熱植入腔室508可包括例如射頻(radio frequency,RF)線圈等熟知的電漿產生器,且在某些實施例中可被配置成電漿浸沒系統。在其他實施例中,熱植入腔室508可配置有單獨的電漿腔室,所述電漿腔室產生電漿且具有用於形成離子束的提取系統,其中所述離子束被引導至基底520。熱植入腔室508可包括任何適合的加熱器(示出為加熱器526),例如輻射加熱器、電阻加熱器、感應加熱器或另外一種加熱器。
系統500還可包括摻雜劑沉積腔室510,摻雜劑沉積腔室510耦合至摻雜劑源522,其中通過根據熟知技術來安排的化學氣相沉積製程施行摻雜劑沉積。系統500還可包括罩蓋層腔室512,罩蓋層腔室512耦合至頂覆材料源524,其中執行用於沉積例如氮化矽等罩蓋層的製程。適合於罩蓋層腔室512的製程可為化學氣相沉積、電漿化學氣相沉積、物理氣相沉積或另外一種沉積技術。罩蓋層源的例子包括提供適合的材料(例如Si、N)的液體源或氣體源或者提供適合的材料的固態目標材料。系統500還可包括退火腔室514,退火腔室514具有施行高溫退火(例如高於800℃的退火)的加熱器528。在某些例子中,退火腔室514可被配置用於使用燈或其他適合的元件來進行快速熱退火。在摻雜製程期間,基底520可通過轉移腔室504在系統500的各個製程腔室之間進行轉移,而不會被暴露至週邊。
圖6繪示根據本發明各實施例的示例性製程流程600。在方塊602中,執行在高於300℃的植入溫度下經由基底的表面將一定劑量的氦物質植入至所述基底中的操作。在具體實施例中,植入溫度可介於400℃與500℃之間的範圍。
在方塊604中,執行在所述基底的所述表面上沉積含有摻雜劑的摻雜層的操作。在某些實施例中,摻雜層的厚度可介於0.1 nm與3 nm之間的範圍。在方塊606中,執行在所述植入之後在所述基底上沉積罩蓋層的操作。在方塊608中,執行在退火溫度下對基底進行退火的操作,其中所述退火溫度高於所述植入溫度。適合的退火溫度的例子包括800℃至1000℃的範圍。在某些實施例中,所述退火溫度可代表快速熱退火製程的峰值溫度,其中在峰值處的持續時間小於10秒且在某些情形中為1秒或小於1秒。
本發明各實施例提供用於提高從沉積層進行的基底的摻雜劑擴散而不使正進行植入的基底非晶化的技術的優點。這種避免使基底非晶化可實現以下進一步的優點:在執行退火之後提高摻雜劑的活化。本發明各實施例也提供以下進一步的優點:在非平面裝置(例如鰭式場效電晶體)中使用沉積層進行的摻雜製程的可按比例縮放性。
本發明在範圍上不受本文中所闡述的具體實施例限制。實際上,通過閱讀以上說明及附圖,對所屬領域中的普通技術人員來說,除本文中所闡述的實施例及潤飾外,本發明的其他各種實施例及對本發明作出的各種潤飾也將顯而易見。因此,這些其他實施例及潤飾都旨在落於本發明的範圍內。此外,儘管本文中已在用於具體目的的具體環境中的具體實作方式的上下文中闡述了本發明,然而所屬領域中的普通技術人員將認識到本發明各實施例的有用性並不僅限於此,且本發明各實施例可出於任意數目的目的而有益地實作於任意數目的環境中。因此,以下提出的權利要求應慮及如本文中所闡述的本發明的全部廣度及精神來進行解釋。
102、312、322、332、520‧‧‧基底 104‧‧‧表面層 106‧‧‧蝕刻劑 108、112、126‧‧‧熱 110、314、324、334‧‧‧表面 114‧‧‧氦物質 120‧‧‧變質層 122、412‧‧‧摻雜層 124、410‧‧‧罩蓋層 128‧‧‧擴散摻雜劑 129‧‧‧外擴散摻雜劑 132‧‧‧經摻雜層 202、204、206、208‧‧‧曲線 316、326‧‧‧缺損 336‧‧‧區 400‧‧‧鰭式場效電晶體裝置 402‧‧‧鰭 404‧‧‧側壁 406‧‧‧基底基底區 408‧‧‧隔離部 500‧‧‧系統 502‧‧‧裝載鎖 504‧‧‧轉移腔室 506‧‧‧蝕刻腔室 508‧‧‧熱植入腔室 510‧‧‧摻雜劑沉積腔室 512‧‧‧罩蓋層腔室 514‧‧‧退火腔室 518‧‧‧氦源 522‧‧‧摻雜劑源 524‧‧‧頂覆材料源 526、528‧‧‧加熱器 532‧‧‧氣態蝕刻劑源 600‧‧‧示例性製程流程 602、604、606、608‧‧‧步驟 D‧‧‧距離 S‧‧‧間距 T‧‧‧厚度 W‧‧‧寬度
圖1A至圖1H說明根據本發明各實施例的在處理基底的過程中所涉及的示例性特徵。 圖2示出矽基底的次級離子質譜(secondary ion mass spectrometry,SIMS)測量的結果,所述結果說明在注入摻雜劑時的氦植入的效果。 圖3A至圖3C呈現說明氦離子植入效果的樣本的剖視電子顯微圖。 圖4A以橫截面的形式示出鰭式場效電晶體(fin field effect transistor,finFET)裝置的大體特徵,而圖4B示出根據本發明各實施例的圖4A所示結構的一部分的近視圖。 圖5繪示根據本發明各實施例的處理裝置的例子。 圖6繪示示例性製程流程。
600:示例性製程流程
602、604、606、608:步驟

Claims (15)

  1. 一種對基底進行摻雜的方法,包括:在300℃或高於300℃的植入溫度下經由所述基底的表面將一定劑量的氦物質植入至所述基底中;在所述基底的所述表面上沉積含有摻雜劑的摻雜層;以及在退火溫度下對所述基底進行退火,所述退火溫度高於所述植入溫度,其中所述基底中的所述摻雜劑的第一活化水平大於所述植入溫度是室溫時所述基底中的所述摻雜劑的第二活化水平。
  2. 如申請專利範圍第1項所述的對基底進行摻雜的方法,其中所述植入溫度介於300℃與600℃之間。
  3. 如申請專利範圍第1項所述的對基底進行摻雜的方法,其中所述一定劑量的氦物質包含200eV至5000eV的能量。
  4. 如申請專利範圍第1項所述的對基底進行摻雜的方法,其中所述一定劑量的氦物質包括5E15/cm2至1E17/cm2的He的劑量。
  5. 如申請專利範圍第1項所述的對基底進行摻雜的方法,其中所述摻雜層包括介於0.1nm與3nm之間的厚度。
  6. 如申請專利範圍第1項所述的對基底進行摻雜的方法,其中所述摻雜劑包括含有砷、硼、磷或矽的膜。
  7. 如申請專利範圍第1項所述的對基底進行摻雜的方法,其中所述基底包含矽、鍺、碳化矽、矽:鍺合金、III-V化合物半導體或II-VI化合物半導體中的一者。
  8. 如申請專利範圍第1項所述的對基底進行摻雜的方法,其中沉積所述摻雜層是在將所述一定劑量的氦物質植入至所述基底之前進行。
  9. 如申請專利範圍第1項所述的對基底進行摻雜的方法,其中對所述基底進行退火包括執行快速熱退火,其溫度升高的速率大於50℃/s,其中所述退火溫度大於900℃,且其中退火時間少於10秒。
  10. 如申請專利範圍第1項所述的對基底進行摻雜的方法,其中包括在所述基底的所述表面上沉積含有所述摻雜劑的所述摻雜層、將所述一定劑量的氦物質植入至所述基底及對所述基底進行退火的各個操作是在所述各個操作之間不打破真空的情況下在群集工具中進行,所述對基底進行摻雜的方法進一步包括:在將所述一定劑量的氦物質植入至所述基底之前且在所述基底的所述表面上沉積含有所述摻雜劑的所述摻雜層之前移除氧化物層;以及在將所述一定劑量的氦物質植入至所述基底之後及在所述基底的所述表面上沉積含有所述摻雜劑的所述摻雜層之後且在對所述基底進行退火之前,在所述基底上沉積罩蓋層,其中移除所述氧 化物層及在所述基底上沉積所述罩蓋層是在所述各個操作之間不打破真空的情況下在所述群集工具中進一步執行。
  11. 一種對半導體裝置進行摻雜的方法,包括:在高於300℃的植入溫度下經由基底的表面將一定劑量的氦植入至所述基底中,所述一定劑量的氦包括為5E15/cm2或高於5E15/cm2的劑量;在所述基底的所述表面上沉積含有摻雜劑的摻雜層,所述摻雜層具有小於1nm的厚度;以及在高於600℃的退火溫度下對所述基底進行退火,其中所述基底中的所述摻雜劑的第一活化水平大於所述植入溫度是室溫時所述基底中的所述摻雜劑的第二活化水平。
  12. 如申請專利範圍第11項所述的對半導體裝置進行摻雜的方法,其中所述基底中的所述摻雜劑的所述第一活化水平比所述基底中的所述摻雜劑的所述第二活化水平高至少五倍。
  13. 如申請專利範圍第11項所述的對半導體裝置進行摻雜的方法,其進一步包括:在將所述一定劑量的氦物質植入至所述基底之前且在所述基底的所述表面上沉積含有所述摻雜劑的所述摻雜層之前移除氧化物層;以及在對所述基底進行退火之前在所述摻雜層上沉積罩蓋層。
  14. 如申請專利範圍第13項所述的對半導體裝置進行摻雜的方法,其中所述基底包括鰭型電晶體裝置,其中所述鰭型電晶體 裝置的相鄰鰭結構之間的間距小於10nm,且其中所述摻雜層的厚度為0.5nm或小於0.5nm。
  15. 一種對基底進行摻雜的系統,包括:轉移腔室,用以容納及轉移所述基底;熱植入腔室,耦合至氦源,所述熱植入腔室進一步耦合至所述轉移腔室且包括:電漿產生器,產生氦離子;以及基底加熱器,產生300℃或高於300℃的基底溫度;摻雜劑沉積腔室,耦合至摻雜劑源及所述轉移腔室,所述摻雜劑沉積腔室向所述基底提供摻雜劑;以及退火腔室,耦合至所述轉移腔室並具有加熱器,所述加熱器產生至少600℃的基底溫度。
TW105136772A 2015-12-22 2016-11-11 對基底進行摻雜與對半導體裝置進行摻雜的方法以及對基底進行摻雜的系統 TWI721033B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/977,849 US9589802B1 (en) 2015-12-22 2015-12-22 Damage free enhancement of dopant diffusion into a substrate
US14/977,849 2015-12-22

Publications (2)

Publication Number Publication Date
TW201732868A TW201732868A (zh) 2017-09-16
TWI721033B true TWI721033B (zh) 2021-03-11

Family

ID=58163488

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105136772A TWI721033B (zh) 2015-12-22 2016-11-11 對基底進行摻雜與對半導體裝置進行摻雜的方法以及對基底進行摻雜的系統

Country Status (6)

Country Link
US (3) US9589802B1 (zh)
JP (1) JP6867393B2 (zh)
KR (1) KR20180087426A (zh)
CN (1) CN108431925B (zh)
TW (1) TWI721033B (zh)
WO (1) WO2017112353A1 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10109781B1 (en) 2017-04-10 2018-10-23 Face International Corporation Methods for fabrication, manufacture and production of an autonomous electrical power source
US10079561B1 (en) 2016-04-09 2018-09-18 Face International Corporation Energy harvesting components and devices
US11605770B2 (en) 2017-04-10 2023-03-14 Face International Corporation Autonomous electrical power sources
US9893261B1 (en) 2017-04-10 2018-02-13 Face International Corporation Structurally embedded and inhospitable environment systems and devices having autonomous electrical power sources
US11957922B2 (en) 2016-04-09 2024-04-16 Face International Corporation Structurally embedded and inhospitable environment systems having autonomous electrical power sources
US10056538B1 (en) 2016-04-09 2018-08-21 Face International Corporation Methods for fabrication, manufacture and production of energy harvesting components and devices
US10985677B2 (en) 2017-04-10 2021-04-20 Face International Corporation Systems and devices powered by autonomous electrical power sources
US9793317B1 (en) 2016-04-09 2017-10-17 Face International Corporation Devices and systems incorporating energy harvesting components/devices as autonomous energy sources and as energy supplementation, and methods for producing devices and systems incorporating energy harvesting components/devices
US9786718B1 (en) 2016-04-09 2017-10-10 Face International Corporation Integrated circuit components incorporating energy harvesting components/devices, and methods for fabrication, manufacture and production of integrated circuit components incorporating energy harvesting components/devices
US10032628B2 (en) * 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
DE102016112139B3 (de) * 2016-07-01 2018-01-04 Infineon Technologies Ag Verfahren zum Reduzieren einer Verunreinigungskonzentration in einem Halbleiterkörper
KR102550651B1 (ko) * 2018-06-22 2023-07-05 삼성전자주식회사 반도체 소자 및 그의 제조 방법
KR102577262B1 (ko) * 2018-08-14 2023-09-11 삼성전자주식회사 확산 방지 영역을 갖는 반도체 소자
KR102251234B1 (ko) 2019-08-21 2021-05-13 주식회사 시노펙스 스트로크 거리가 증가된 포스 센서 스위치
US20220231144A1 (en) * 2021-01-15 2022-07-21 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor structure, method for manufacturing the same, and transistor
US20230187222A1 (en) * 2021-12-13 2023-06-15 Applied Materials, Inc. Adapting electrical, mechanical, and thermal properties of package substrates

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060255341A1 (en) * 2005-04-21 2006-11-16 Aonex Technologies, Inc. Bonded intermediate substrate and method of making same
TW200703462A (en) * 2005-04-13 2007-01-16 Univ California Wafer separation technique for the fabrication of free-standing (Al, In, Ga)N wafers

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3718502A (en) * 1969-10-15 1973-02-27 J Gibbons Enhancement of diffusion of atoms into a heated substrate by bombardment
JP3464247B2 (ja) * 1993-08-24 2003-11-05 株式会社東芝 半導体装置の製造方法
WO1997042652A1 (en) * 1996-05-08 1997-11-13 Advanced Micro Devices, Inc. Control of junction depth and channel length using generated interstitial gradients to oppose dopant diffusion
US6207005B1 (en) * 1997-07-29 2001-03-27 Silicon Genesis Corporation Cluster tool apparatus using plasma immersion ion implantation
JP2001189288A (ja) * 1999-12-20 2001-07-10 Ind Technol Res Inst イオン注入利用の基板ダイシング法
US6436614B1 (en) * 2000-10-20 2002-08-20 Feng Zhou Method for the formation of a thin optical crystal layer overlying a low dielectric constant substrate
JPWO2005119745A1 (ja) * 2004-06-04 2008-04-03 松下電器産業株式会社 不純物導入方法
JP5528515B2 (ja) * 2006-07-28 2014-06-25 一般財団法人電力中央研究所 SiCバイポーラ型半導体素子
JP5155536B2 (ja) * 2006-07-28 2013-03-06 一般財団法人電力中央研究所 SiC結晶の質を向上させる方法およびSiC半導体素子の製造方法
US8450193B2 (en) * 2006-08-15 2013-05-28 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
FR2905801B1 (fr) * 2006-09-12 2008-12-05 Soitec Silicon On Insulator Procede de transfert d'une couche a haute temperature
JPWO2009034699A1 (ja) * 2007-09-10 2010-12-24 パナソニック株式会社 半導体装置の製造方法
US20090162966A1 (en) * 2007-12-21 2009-06-25 The Woodside Group Pte Ltd Structure and method of formation of a solar cell
US8372735B2 (en) 2008-08-14 2013-02-12 Varian Semiconductor Equipment Associates, Inc. USJ techniques with helium-treated substrates
CN102246275B (zh) * 2008-10-29 2014-04-30 英诺瓦莱特公司 在基片上形成多掺杂结的方法
US9685186B2 (en) * 2009-02-27 2017-06-20 Applied Materials, Inc. HDD pattern implant system
FR2949606B1 (fr) * 2009-08-26 2011-10-28 Commissariat Energie Atomique Procede de detachement par fracture d'un film mince de silicium mettant en oeuvre une triple implantation
US20110300696A1 (en) * 2010-06-02 2011-12-08 Varian Semiconductor Equipment Associates, Inc. Method for damage-free junction formation
US9076719B2 (en) * 2013-08-21 2015-07-07 The Regents Of The University Of California Doping of a substrate via a dopant containing polymer film
US20150214339A1 (en) * 2014-01-24 2015-07-30 Varian Semiconductor Equipment Associates, Inc. Techniques for ion implantation of narrow semiconductor structures
US10249498B2 (en) 2015-06-19 2019-04-02 Tokyo Electron Limited Method for using heated substrates for process chemistry control

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200703462A (en) * 2005-04-13 2007-01-16 Univ California Wafer separation technique for the fabrication of free-standing (Al, In, Ga)N wafers
US20060255341A1 (en) * 2005-04-21 2006-11-16 Aonex Technologies, Inc. Bonded intermediate substrate and method of making same

Also Published As

Publication number Publication date
US9589802B1 (en) 2017-03-07
CN108431925A (zh) 2018-08-21
TW201732868A (zh) 2017-09-16
CN108431925B (zh) 2022-08-02
US9953835B2 (en) 2018-04-24
US20180240670A1 (en) 2018-08-23
JP6867393B2 (ja) 2021-04-28
JP2019504493A (ja) 2019-02-14
WO2017112353A1 (en) 2017-06-29
US20170178908A1 (en) 2017-06-22
KR20180087426A (ko) 2018-08-01

Similar Documents

Publication Publication Date Title
TWI721033B (zh) 對基底進行摻雜與對半導體裝置進行摻雜的方法以及對基底進行摻雜的系統
US7501332B2 (en) Doping method and manufacturing method for a semiconductor device
TWI609413B (zh) 在基板上形成分子摻雜物單層之方法
TW201218255A (en) Integrated platform for in-situ doping and activation of substrates
US8598025B2 (en) Doping of planar or three-dimensional structures at elevated temperatures
CN107949918B (zh) 使用保形掺杂物沉积的3D Si结构中的保形掺杂
JP2019504489A (ja) Dram装置用の不均一ゲート酸化物厚さ
TW201639008A (zh) 控制硼摻質之固相擴散以形成超淺摻雜區域的方法
US20120178223A1 (en) Method of Manufacturing High Breakdown Voltage Semiconductor Device
TW201530622A (zh) 處理半導體裝置的方法以及形成鰭式場效應電晶體的方法
TW201430960A (zh) 超淺接面的製造方法
JP2005322893A (ja) 不純物添加方法及び半導体装置の製造方法
JP5010589B2 (ja) 半導体デバイス製造方法及びその方法により製造した半導体デバイスを備えた半導体集積回路チップ
Biswas et al. Impact of punch-through stop implants on channel doping and junction leakage for Ge ${p} $-FinFET applications
CN112885716B (zh) 半导体结构的形成方法
US9337314B2 (en) Technique for selectively processing three dimensional device
CN108630535B (zh) 半导体结构及其形成方法
JP6579086B2 (ja) デバイス形成方法
JP3578345B2 (ja) 半導体装置の製造方法および半導体装置
TWI834755B (zh) 用於結合的選擇性單層摻雜的方法和設備
JP2008041988A (ja) ゲルマニウム(Ge)半導体デバイス製造方法。
WO2013105331A1 (ja) 半導体装置及びその製造方法
US20200161134A1 (en) Methods and apparatus for integrated selective monolayer doping
Paeng et al. Enhancing phosphorous doping level on Ge by Sb co-doping with non-beamline implantation methods
Foggiato et al. Integration of nickel silicide: minimizing defect generation during formation