JP5010589B2 - 半導体デバイス製造方法及びその方法により製造した半導体デバイスを備えた半導体集積回路チップ - Google Patents

半導体デバイス製造方法及びその方法により製造した半導体デバイスを備えた半導体集積回路チップ Download PDF

Info

Publication number
JP5010589B2
JP5010589B2 JP2008516483A JP2008516483A JP5010589B2 JP 5010589 B2 JP5010589 B2 JP 5010589B2 JP 2008516483 A JP2008516483 A JP 2008516483A JP 2008516483 A JP2008516483 A JP 2008516483A JP 5010589 B2 JP5010589 B2 JP 5010589B2
Authority
JP
Japan
Prior art keywords
gate electrode
semiconductor device
gate
manufacturing
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008516483A
Other languages
English (en)
Other versions
JP2008544517A (ja
Inventor
イェー ポウラック バートロメイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Interuniversitair Microelektronica Centrum vzw IMEC
Original Assignee
Interuniversitair Microelektronica Centrum vzw IMEC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Interuniversitair Microelektronica Centrum vzw IMEC filed Critical Interuniversitair Microelektronica Centrum vzw IMEC
Publication of JP2008544517A publication Critical patent/JP2008544517A/ja
Application granted granted Critical
Publication of JP5010589B2 publication Critical patent/JP5010589B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Description

本発明は、アモルファス化および再結晶化プロセスを受けるポリシリコン電極を有する半導体デバイスを製造する方法に関する。特に、本発明は、ポリシリコンゲート電極を有するMOSトランジスタを製造する方法に関するが、これに限定されない。
半導体デバイス製造の分野では、デバイスのサイズを小型化するという継続した圧力がある。この種の小型化によって、より多くのデバイスを所定の大きさのウエハ上に組み込むことができる。同様に、デバイスの性能を改善しないまでも維持するという継続した圧力がある。デバイスの構成要素の寸法が小さくなるにつれて、ある種の望ましくない電気的効果が顕著になる。
この種の効果が起こる装置の良い例は、MOSFETである。MOSFETでは、半導体のチャネル領域で分離されたソースおよびドレイン拡張領域は、ドープ半導体ウエハのそれぞれのドープ部分を含む。基板がn型にドープされる場合、P型のドーパント、例えばホウ素が、注入される。基板がp型にドープされる場合、N型ドーパント、例えばリンまたはヒ素が注入される。従って、ソースおよびドレイン領域間を流れる電流に対する電位障壁を生成するpn接合が設けられる。チャネル上部に配置される絶縁されたゲート電極に印加される電圧は、電位障壁の高さを制御し、従って、チャネル領域を流れる電流を制御する役目をする。
比較的短チャネルの領域によってもたらされる好ましくない電気的効果を回避するためには、ソースおよびドレイン拡張領域を浅いが急峻な接合プロファイルに形成するのが望ましい。ドーパントを半導体ウエハや基板の中へ注入することによって、接合を形成するのが一般的である。チャネル領域をマスキングするために、すでに形成されたゲートを用いて、ドーパントを半導体ウエハの上部表面に注入する。その後、ドーパントを、熱アニールによって活性化する。その結果生じる半導体の加熱によって、ドーパントが半導体の中により深く拡散する。その結果、接合プロファイルの急峻さが減少してしまう。これは、広く認められた課題である。
特許文献1に、ドーパント種の注入の前か後に実行することができる、アモルファス化注入プロセスが開示されている。ケイ素またはゲルマニウムのような種を注入すると、半導体基板の上部領域はアモルファスとなる。アモルファス化注入およびn型またはp型のドーピング注入に続いて、ドーパントを活性化し且つアモルファス領域の再結晶化をするために、熱アニールが実行される。
図1aは、特許文献1に開示されたのと同様なアモルファス化ステップ中における、基板の非常に概略的な断面図を示す。ゲート電極10は、最初に多結晶シリコン(ポリシリコン)で形成され、ゲート絶縁体14によって半導体基板12から絶縁されている。半導体基板の露出面は、例えば、矢印100によって示されるゲルマニウム原子を注入することによってアモルファス化される。注入されたゲルマニウムによって運搬されるエネルギーが、ポリシリコン面に近い規則的な結晶格子を乱す役目をし、それによって、アモルファス領域が生成される。次に、n型またはp型のドーパント・イオンが、基板のアモルファス化された領域へ注入される(図示せず)。
熱アニールが、ドーパントの活性化とともにアモルファス化された領域の固相エピタキシャル再成長を促進するために行われる。この種のアモルファス化および再成長プロセスは、優れたドーパント活性化レベルおよび急峻な接合プロファイルを提供することが示されている。図1bを参照すると、活性されたソースおよびドレイン拡張部22,24は、ゲート10の端と整合され、アンドープチャネル領域26によって分離されている。アモルファス化は、ドーパント・イオンの拡散を阻止するアモルファス/結晶境界を生成するのに役立ち、急峻な接合部の形成に寄与する。
ドーパントの活性化の前にアモルファス化注入を実行することと関連して、少なくとも、ポリシリコンゲートの一部もアモルファス化されるという問題がある。ゲートを再結晶化させるために必要となるサーマルバジェットは、バルク半導体のためのそれよりかなり高い。この結果、低温のサーマルバジェットが使用される場合は、ゲートが部分的に再結晶化することとなる。図1bにおいて示されるように、ゲートの下部10aは良好に再成長するが、上部10bはアモルファスのままとなる。
図2は、ゲルマニウムのプレアモルファス化注入(PAI)と1分間の熱(再成長)アニールの後の、ポリシリコンゲートの抵抗値の実験結果を示すグラフである。ひし形によって示される「D02」のプロットは、PAIをしない場合のゲートの抵抗を示す。より高いエネルギーを有するゲルマニウムのPAIのプロットは、低いサーマルバジェットで非常に高い抵抗を示すことがわかる。
図3は、ゲルマニウムのPAIと680°Cで1分間の熱アニールとを行ったゲートのX−TEM(断面透過型電子顕微鏡)画像を示す。これは、図2において、「X」として強調したデータ点によって示される。図2の矢印によって示されるゲートの上部は、まだアモルファスである。このゲートの比較的高い抵抗および、結果として生じる低いデバイス性能は、このアモルファス部分に起因する。
約780°C以上のサーマルバジェットで、ゲートは完全に再結晶し、その結果として、より低いより望ましい抵抗値を有する。しかしながら、こうした高温では、ドーパントの拡散が拡大するために、ソースおよびドレインの接合部が非活性化し始める。従って、ゲートが完全に再結晶化することができ、且つ、接合が十分に急峻に保たれるような、プロセスウィンドウは存在しない。
米国特許出願公開第2004/0115889号明細書
本発明の目的は、改良された半導体デバイスの製造方法を提供することである。
本発明の更なる目的は、完全に再結晶化したゲートと十分に急峻に保たれた接合を備えた半導体デバイスを製造する方法を提供することである。
本発明によれば、半導体基板上にポリシリコンのゲート電極を形成するステップと、半導体基板およびゲート電極の露出面をアモルファス化させるステップと、ゲート電極に隣接した半導体基板の領域にドーピングするステップと、その後、ゲート電極の一部分および半導体基板を再結晶化させるステップと、ゲート電極の上部を除去するステップとを含む半導体デバイスの製造方法を提供する。再結晶ステップの後、ゲート電極の上部を除去することによって、処理温度の選択の自由が拡大する。望ましい接合プロファイルが維持されることを確実とするため、低いサーマルバジェットを自由に使用することができ、ゲート電極の再結晶化の程度は臨界的でない。ゲート電極に残存するアモルファスシリコンは、ゲート電極との低抵抗接触を可能にするために、適宜取り除かれる。
用語「アモルファス化する」は、材料のほぼ結晶質の領域をほぼアモルファスの領域に変換する任意のプロセスを意味するものとして使用する。関連する用語「アモルファス化」および「アモルファス化した」は、以下では、上記の定義から導かれる意味を採用する。
ゲート電極の上部のアモルファス部分を除去するには、例えば、電極の最上部の露出面を研磨またはエッチングすることによって行うことができる。これらの各工程は、単純で、且つ、設備の面ではCMOSまたは先進CMOS生産ラインで既に存在する設備に殆ど追加を必要としない。
ゲート電極の最上表層の約20〜50nmを除去する。ただし、この除去する層厚はシリコンゲートの再成長の程度によって決まる。上述のように、後でゲート電極のアモルファス部分を除去することで、低温を使用することが可能になる。例えば、アモルファス化された領域の固相エピタキシャル再成長は、基板を600°C〜750°Cの範囲の温度まで加熱することによって実行することができる。加熱の継続時間は、選択した温度に依存する。
ゲート電極の高い抵抗の部分を除去することによって、ゲート電極との低抵抗接触を可能にする。この接触は、ゲート電極上にシリサイド接点領域を形成することによって、更に改良することができる。この改良は、前記除去ステップの後、ゲート電極の上に金属層を堆積させ、次に基板を加熱して、ゲート電極層上にシリサイド接点領域を形成することによって行うのが好ましい。
好ましい実施形態において、本発明に従って製造される半導体デバイスは、集積回路チップに組み込まれる。これは、確立されたCMOSまたは先進CMOS製造工場を使用して製造することができる。
以下に、本発明の実施例を、あくまで例として、以下の図面を参照して記載する。
図は概略図にすぎないことはいうまでもない。同一または類似した部分を示すために、同一の参照番号を全図にわたって使用する。
本発明は、浅く急峻な接合を形成するために必要とされるアモルファス化および低温の再成長プロセスに適応しながら、低抵抗のポリシリコンゲートを有するMOSトランジスタを製造する単純な手段を提供する。図1および4を用いて本発明による方法の模範的な実施例を説明する。
図1aにつき説明すると、絶縁層を、シリコン基板12上に堆積させる。この絶縁層は、例えば、酸化シリコンまたは窒化シリコンで形成することができる。次に、ポリシリコン層14を基板上に約100nmの厚さに堆積させる。
ゲート絶縁層12およびポリシリコン層14の堆積は、公知の堆積技術、例えばエピタキシャル成長、化学気相堆積(CVD)または原子層堆積(ALD)等を使用して行う。
次に、ポリシリコン層および絶縁層を、標準のリソグラフィー技術を用いてパターン化して、シリコン基板12上に、ゲート絶縁層14により分離された、ポリシリコンゲート電極10を有するゲート・スタックを形成する。例えば、絶縁ゲート・スタックを形成すべき所望の位置に対応する基板上の複数の領域をマスクするために、フォトレジストを使用することができる。次に、エッチングステップを使用して、ポリシリコン層14と絶縁層12の不必要な領域を除去することができる。次に、フォトレジストを除去し、基板上の絶縁ゲート・スタックを露出させる。いうまでもなく、典型的な集積回路デバイスでは、単一ウエハ上に、多くの別々のゲート・スタックが形成される。しかしながら、本発明の説明を単純にするために、本発明の方法を(図1aに示すように)単一のゲート・スタックのみにつき記載する。
矢印100によって示されるように、シリコン基板およびゲート電極10の露出面をアモルファス化するために、ゲルマニウムの注入を行う。この注入は、5e14〜1e15at/cm3の線量で8〜30keVのエネルギーで実行される。原子衝撃が最上表層に及ぼす作用によって、結晶構造の乱れが生じ、それによって、有限な深さのアモルファスシリコンができる。このアモルファス化は、その次にシリコンウエハ12の深さ方向にドーパント・イオンが拡散することを制限するのに役立ち、それによって、望ましい浅いソース/ドレイン領域を提供する。
この段階で、ゲート電極に隣接して絶縁スペーサ(図示せず)を形成して次のp型ドーピングから下側の基板の各領域をマスクすることができる。
再度図1bを参照すると、ホウ素イオンを、5e14〜5e15の線量で0.2〜1.0keVのエネルギーで注入する。このホウ素注入は、ゲート電極10に隣接したシリコン基板の領域22,24をドープする。このドープ領域は、最終的にp型ドープの導電性ソースおよびドレイン領域として機能する。他の実施例では、基板の領域に代わりにn型リンイオンを注入することによって、n型半導体デバイスを設けることができる。
次に、ゲート電極の部分も含めて、デバイスのアモルファス化された部分22,24の固相エピタキシャル再成長を促進するために、低温アニールを実行する。ゲート電極の一部10aおよび半導体基板22,24を再結晶化させることに加えて、アニールは、注入したホウ素ドーパントを活性化させるのに役立つ。
このアニールを実行するために、600〜750°C(典型的には650°C)で一分間のサーマルバジェットを用いる。温度が急峻な接合プロファイルの破壊を起こす温度を上回らない限り、より高い温度をより短い継続時間で使用することができることが想定される。図1bを参照すると、再結晶化されたソースおよびドレイン領域22および24が与えられ且つアンドープチャネル領域26によって分離される。さらに、熱アニールは、ゲート10のシリコンをある程度再結晶化させてポリシリコンの下部10aおよびアモルファスシリコンの上部10bを形成する。
本発明の好ましい実施例によれば、次に、ゲート電極の上部10bを、その最上部の露出面を研磨することによって除去する。化学機械研磨(CMP)を、この目的のために使用することができる。CMPは、ゲート10の最上面から20〜50nmの最上表層を取り除く役目をする。しかしながら、除去部分の厚みは、固相エピタキシャル再成長の間、ゲートが再結晶する程度に依存すると想定される。研磨によって、ゲートのアモルファスの高抵抗領域をほぼ全て取り除き、低抵抗接触を可能にするのが有利である。CMPプロセスの性質のため、研磨の程度は、ウエハ全域で+/−20nm幅で変動し得る。
別の好ましい例では、ポリシリコンゲートのアモルファス部分を、選択エッチングによって除去する。この場合、例えば、ゲート電極のアモルファスシリコン部10bを取り除くための湿式のエッチングを実行するために、例えば、HFを主成分とする酸を用いることができる。ゲートの高抵抗部分だけが取り除かれ、有利である。
他の実施例では、ゲート電極の上部10bを、プラズマ(乾式)エッチングを使用して取り除く。
図4は、上部10bを除去した後のゲート・スタックを示す。
次に、標準的な堆積技術を使用して、ニッケルの層(図示せず)を、ゲート電極上に20〜40nmの厚さに堆積させる。次に、基板を加熱してニッケルとその下のポリシリコンの部分をシリサイド接点領域に変換する。シリサイドは、さらに低抵抗のデバイスとの接触を有利に提供する。次に、不要なニッケルを例えば湿式エッチングを使用して除去する。本実施例においてニッケルを使用したが、代わりにシリサイドを形成するのに適した他の金属を使用してもよいことが想定される。
次に、半導体デバイスに接点を設けるために、更なる基板のフロントエンド処理を実行して集積回路チップ内に構成要素を形成する。しかしながら、本発明に直接関係するものではないため。この更なる処理については記載しない。
要約すると、MOSトランジスタのような半導体デバイスを製造する方法を提供する。デバイスは、ポリシリコンのゲート、および、半導体基板内に形成され且つチャネル領域で分離されたドープ領域を含む。次の熱アニール中におけるドーパントイオンの拡散を阻止するために、例えば、イオン衝撃によって、半導体基板の露出面をアモルファス化する。ソース/ドレイン領域の急峻なドーピングプロファイルを確保するために、活性化およびポリシリコンの再成長を低温サーマルバジェットで行うことが好ましい。結果として、ゲート電極の上部は、アモルファスのままとなる。ポリシリコン下部との低抵抗接触を可能とするために、ゲート電極の上部を取り除く。
本願の開示内容を読めば、他の種々の変更および修正が当業者に明らかである。これらの変更および修正は、半導体の設計、製造および使用において公知の特徴であって、ここに開示された特徴に加えて、あるいは、代えて使用することができる等価な特徴及び他の特徴を含んでよい。本発明の特許請求の範囲が特徴の特定の組み合わせとして表現されていたとしても、ここに明示的に又は暗示的に開示したいかなる新規な特徴又は特徴のいかなる新規な組み合わせも、本発明が解決する技術的課題と同じ課題の一部又は全部を解決するか否かに拘わらず、この出願の開示の範囲に含まれるものと解されるべきである。本出願人は、ここに、この出願又はこの出願から継続された出願が手続きに係属中に、前記の特徴及び/又は前記の特徴の組み合わせについて新しい特許請求の範囲を提出することがあり得ることを明記する。
周知の製造方法の2つの製造工程における、MOSトランジスタのゲートおよびチャネル領域を通る非常に模式的な断面図である。 実験結果より得られた、アモルファス化され異なるサーマルバジェットで再成長されたゲートの抵抗を示すグラフである。 アモルファス化され、680°Cで1分間再成長されたゲートのX−TEM画像である。 本発明による方法によって製造されたMOSトランジスタのゲートおよびチャネル領域を通る模式的な断面図である。

Claims (4)

  1. 半導体デバイス製造方法において、
    n型またはp型にドープされた半導体基板上にポリシリコンのゲート電極を形成するステップと、
    前記半導体基板および前記ゲート電極の露出面をアモルファス化させるステップと、
    前記アモルファス化させるステップの後、前記ゲート電極に隣接した前記半導体基板の領域に、前記半導体基板の型とは異なる型のドーパントをドーピングするステップと、
    その後、600℃〜750℃の低温アニールにより、前記ゲート電極の上部はアモルファス状態で、前記ゲート電極の下部および前記半導体基板を再結晶化させる再結晶化ステップと、
    前記再結晶化ステップの後、前記ゲート電極の最上部表面から20〜50nmの厚さを有する層を除去し、前記ゲート電極の高抵抗部分のみを取り除く除去ステップと
    前記除去ステップの後に、前記ゲート電極上に金属層を堆積させるステップと、
    前記金属層が堆積した状態で、前記基板を加熱して前記ゲート電極上にシリサイド接点領域を形成するステップと
    を含むことを特徴とする半導体デバイス製造方法。
  2. 請求項1に記載の半導体デバイス製造方法において、
    前記除去ステップは、前記ゲート電極の最上部露出面を研磨するステップを含むことを特徴とする半導体デバイス製造方法。
  3. 請求項1に記載の半導体デバイス製造方法において、
    前記除去ステップは、前記ゲート電極の最上部露出面をエッチングするステップを含むことを特徴とする半導体デバイス製造方法。
  4. 請求項1〜3のいずれか1項に記載の半導体デバイス製造方法により製造した半導体デバイスを備えた集積回路チップ。
JP2008516483A 2005-06-16 2006-06-13 半導体デバイス製造方法及びその方法により製造した半導体デバイスを備えた半導体集積回路チップ Active JP5010589B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP05105323 2005-06-16
EP05105323.9 2005-06-16
PCT/IB2006/051879 WO2006134553A2 (en) 2005-06-16 2006-06-13 Semiconductor device having a polysilicon electrode

Publications (2)

Publication Number Publication Date
JP2008544517A JP2008544517A (ja) 2008-12-04
JP5010589B2 true JP5010589B2 (ja) 2012-08-29

Family

ID=37532687

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008516483A Active JP5010589B2 (ja) 2005-06-16 2006-06-13 半導体デバイス製造方法及びその方法により製造した半導体デバイスを備えた半導体集積回路チップ

Country Status (5)

Country Link
US (1) US7790545B2 (ja)
EP (1) EP1894243A2 (ja)
JP (1) JP5010589B2 (ja)
CN (1) CN101288159B (ja)
WO (1) WO2006134553A2 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102194752B (zh) * 2010-03-11 2013-06-12 中芯国际集成电路制造(上海)有限公司 一种互补金属氧化物半导体器件结构的制作方法
US8748256B2 (en) * 2012-02-06 2014-06-10 Texas Instruments Incorporated Integrated circuit having silicide block resistor
US9958424B2 (en) * 2012-10-01 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of identifying airborne molecular contamination source
CN106952918A (zh) * 2016-01-05 2017-07-14 中芯国际集成电路制造(上海)有限公司 分离栅快闪存储器的制备方法
US10522557B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03297148A (ja) * 1990-04-16 1991-12-27 Fujitsu Ltd 半導体装置の製造方法
JPH0458524A (ja) * 1990-06-27 1992-02-25 Fujitsu Ltd 半導体装置の製造方法
JPH07263684A (ja) * 1994-03-25 1995-10-13 Mitsubishi Electric Corp 電界効果トランジスタの製造方法
US5656519A (en) 1995-02-14 1997-08-12 Nec Corporation Method for manufacturing salicide semiconductor device
JPH0923007A (ja) * 1995-07-07 1997-01-21 Sony Corp 半導体装置およびその製造方法
US5966597A (en) * 1998-01-06 1999-10-12 Altera Corporation Method of forming low resistance gate electrodes
US6297115B1 (en) 1998-11-06 2001-10-02 Advanced Micro Devices, Inc. Cmos processs with low thermal budget
JP2000260728A (ja) * 1999-03-08 2000-09-22 Nec Corp 半導体装置の製造方法
US6335253B1 (en) 2000-07-12 2002-01-01 Chartered Semiconductor Manufacturing Ltd. Method to form MOS transistors with shallow junctions using laser annealing
US6424001B1 (en) * 2001-02-09 2002-07-23 Micron Technology, Inc. Flash memory with ultra thin vertical body transistors
JP2003332565A (ja) * 2002-05-08 2003-11-21 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2003022984A (ja) * 2002-05-31 2003-01-24 Sharp Corp 半導体装置の製造方法
US20040115889A1 (en) 2002-12-17 2004-06-17 Amitabh Jain Ultra shallow junction formation
US7247566B2 (en) * 2003-10-23 2007-07-24 Dupont Air Products Nanomaterials Llc CMP method for copper, tungsten, titanium, polysilicon, and other substrates using organosulfonic acids as oxidizers
EP1650796A3 (fr) 2004-10-20 2010-12-08 STMicroelectronics (Crolles 2) SAS Procédé de prise de contact sur une région d'un circuit intégré, en particulier sur les électrodes d'un transistor

Also Published As

Publication number Publication date
WO2006134553A3 (en) 2008-06-19
EP1894243A2 (en) 2008-03-05
WO2006134553A2 (en) 2006-12-21
US7790545B2 (en) 2010-09-07
JP2008544517A (ja) 2008-12-04
CN101288159B (zh) 2010-10-06
US20090159992A1 (en) 2009-06-25
CN101288159A (zh) 2008-10-15

Similar Documents

Publication Publication Date Title
US7531436B2 (en) Highly conductive shallow junction formation
CN100442464C (zh) 半导体器件制造方法
US7211516B2 (en) Nickel silicide including indium and a method of manufacture therefor
US9209088B2 (en) Semiconductor devices and methods of manufacture thereof
TW535260B (en) Method of manufacturing semiconductor device
EP1784859A2 (en) Semiconductor transistor having structural elements of differing materials and method of formation
TW200525762A (en) A semiconductor substrate with solid phase epitaxial regrowth with reduced junction leakage and method of producing same
TW200915436A (en) Formation of shallow junctions by diffusion from a dielectric doped by cluster or molecular ion beams
TW200816328A (en) Use of carbon co-implantation with millisecond anneal to produce ultra-shallow junctions
EP1759420B1 (en) Semiconductor on insulator semiconductor device and method of manufacture
WO2009006127A2 (en) Method for forming a metal siliicide
JP5010589B2 (ja) 半導体デバイス製造方法及びその方法により製造した半導体デバイスを備えた半導体集積回路チップ
JP3545526B2 (ja) 半導体装置の製造方法
US8372750B2 (en) Method and system for improved nickel silicide
US8546259B2 (en) Nickel silicide formation for semiconductor components
US6699771B1 (en) Process for optimizing junctions formed by solid phase epitaxy
US7615430B2 (en) Field effect transistor and method of manufacturing a field effect transistor
US20060141728A1 (en) Formation of junctions and silicides with reduced thermal budget
JP2000349039A (ja) 浅い拡散層を有する半導体装置の製造方法
TW202127523A (zh) 積體電路晶粒及其製造方法
KR20130103061A (ko) 반도체 장치 제조방법
JP2003243652A (ja) 浅い拡散層を有する半導体装置の製造方法
WO2006095383A1 (ja) Pチャネル不純物領域を有する半導体装置及びその製造方法
JP2000200911A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110510

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110810

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20120224

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120224

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120508

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120601

R150 Certificate of patent or registration of utility model

Ref document number: 5010589

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150608

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250