JP6867393B2 - 基板のドーピング方法、半導体デバイスのドーピング方法及び基板をドーピングするシステム - Google Patents

基板のドーピング方法、半導体デバイスのドーピング方法及び基板をドーピングするシステム Download PDF

Info

Publication number
JP6867393B2
JP6867393B2 JP2018532148A JP2018532148A JP6867393B2 JP 6867393 B2 JP6867393 B2 JP 6867393B2 JP 2018532148 A JP2018532148 A JP 2018532148A JP 2018532148 A JP2018532148 A JP 2018532148A JP 6867393 B2 JP6867393 B2 JP 6867393B2
Authority
JP
Japan
Prior art keywords
substrate
helium
annealing
dopant
dose
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018532148A
Other languages
English (en)
Other versions
JP2019504493A5 (ja
JP2019504493A (ja
Inventor
アール ヘイティム クリストファー
アール ヘイティム クリストファー
エイ ローランド クリストファー
エイ ローランド クリストファー
Original Assignee
ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド
ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド, ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド filed Critical ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド
Publication of JP2019504493A publication Critical patent/JP2019504493A/ja
Publication of JP2019504493A5 publication Critical patent/JP2019504493A5/ja
Application granted granted Critical
Publication of JP6867393B2 publication Critical patent/JP6867393B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Description

本発明の実施形態は、拡散の向上方法に関し、特に、基板のドーピング方法に関する。
ロジック及びメモリデバイスなどの半導体デバイスは、より小さい寸法に縮小し続けるので、半導体デバイスを作るための従来の処理及び材料の使用は、ますます問題になっている。一例において、半導体構造をドーピングするための新しいアプローチが、イオン注入に取って代わるために、研究されている。例えば、最小のデバイスの寸法が20nm以下のオーダーであるデバイス構造のドーピングにおいて、イオン注入により引起こされる残留損傷は、許容することができない。したがって、堆積層からの熱駆動外方拡散による基板のターゲット領域のドーピングなどの技術が、探求されてきた。現在、実行されているように、ドーパントの活性化だけでなく、ターゲット領域の中に取り込まれるドーパントの量も、における熱量の考慮により、本アプローチは限定することができる。
これらの及び他の考慮に対して、本発明が提供された。
本概要は、以下の詳細な説明に記載されるよりも更に簡略化した形式でコンセプトの選択を導入するために、提供される。本概要は、特許請求の範囲の主題の肝要な特徴又は本質的特徴を同定することを意図するものではなく、特許請求の範囲の主題の範囲を決定する助けを意図するものでもない。
一実施態様において、基板のドーピング方法は、300℃以上の注入温度で、基板の表面を通って、ヘリウム種のドーズを基板の中へ注入するステップを含んでもよい。前記方法は、さらに、ドーパントを含むドーピング層を前記基板の前記表面に堆積するステップと、アニール温度で前記基板のアニーリングをするステップと、を含んでもよく、前記アニール温度は前記注入温度より高い。
別の実施態様において、半導体基板のドーピング方法は、300℃を超える注入温度で、基板の表面を通って、ヘリウムのドーズを基板の中へ注入するステップであって、ヘリウムの前記ドーズは5×1015/cm2以上を含む、ステップを含んでもよい。前記方法は、さらに、ドーパントを含むドーピング層を前記基板の前記表面に堆積するステップであって、前記ドーピング層は1nmより薄い厚さを有する、ステップと、600℃より高いアニール温度で前記基板のアニーリングをするステップと、を含んでもよい。
別の実施態様において、基板をドーピングするシステムは、基板を保管し移す移動チャンバと、ヘリウム源に連結し、前記移動チャンバに連結された高温注入チャンバとを含んでもよい。前記高温注入チャンバは、ヘリウムイオンを発生するプラズマ発生器と、300℃以上の基板の温度を生成する基板ヒーターと、を含んでもよい。前記システムは、さらに、ドーパント源及び前記移動チャンバに連結され、ドーパントを前記基板に供給するドーパント堆積チャンバを含んでもよい。前記システムは、前記移動チャンバに連結され、少なくとも600℃の基板の温度を生成するヒーターを有するアニーリングチャンバも含んでもよい。
図1A〜1Hは、本発明の実施形態による、基板の処理に伴う例示的特徴を例示する。 シリコン基板の二次イオン質量分析法(SIMS)の測定結果を示し、ドーパントの打ち込みでのヘリウム注入の効果を例示する。 図3A〜3Cは、ヘリウムイオン注入の効果を例示するサンプルの断面電子顕微鏡写真を示す。 FinFETデバイスの断面の一般的特徴を示す。 本発明の実施形態による、図4Aの構造の一部のクローズアップを示す。 本発明の実施形態による、処理装置の例を示す。 例示的プロセスフローを示す。
本実施形態は、いくつかの実施形態を示す添付図面を参照して、以後、もっと十分に説明する。本発明の主題は、多くの異なる形式で具現化することができ、本明細書で述べる実施形態に限定されるものと解釈すべきではない。これらの実施形態は、本発明が徹底的に完全であり、本主題の範囲を当業者に十分に伝えるように、提供される。図面において、初めから終わりまで、同様の番号は同様の要素を指す。
本実施形態において、本発明者は、基板を損傷しないで基板の中へのドーパントの拡散を促進するための、新規なアプローチを特定した。様々な実施形態において、基板が、室温を超える温度範囲で、注入温度にあるとき、ヘリウムのドーズを基板の中へ注入することができる。基板の中へのドーパントの拡散を向上し、基板内のドーパントの活性化をもたらす方法で、ドーパント材料の基板への堆積と共に、ヘリウムのドーズを供給することができ、一方、残留欠陥を生成しないで、損傷のない拡散の増強をもたらす。
図1A〜1Hは、本発明の実施形態による、基板の処理に伴う例示的特徴を例示する。いくつかの実施形態において、図1A〜1Fに例示する動作は、異なる処理ツールで実施することができ、一方、他の実施形態において、クラスターツールなどの異なる動作を実施するための、多数のプロセスチャンバを有する所定の統合ツール内で、動作を実施することができる。特に、図1Aに戻るに、基板102を提供する第1のステップが示される。様々な実施形態において、基板102は、シリコン、ゲルマニウム、炭化ケイ素(SiC)、又は、シリコン:ゲルマニウム合金などの半導体材料とすることができる。他の実施形態において、基板は、既知の、III−V族化合物半導体(例えば、GaAs、InGaAs)、又は、II−VI族化合物半導体(例えば、CdTe)を含むことができる。特に、基板102は、通常、当技術分野で周知のように、結晶格子に特徴付けられる単結晶構造を有することができる。実施形態は、本文脈に限定されない。様々な実施形態において、基板102は、平面の構成を有するとして示されるが、基板102は、三次元(3D)トランジスタデバイスなどの、互いに対して異なる角度で伸びる表面を示す特徴を含むことができる。3Dデバイスの例は、フィン型電界効果トランジスタデバイス(FinFET)、全周ゲート(GAA)トランジスタデバイス、水平GAA(HGAA)デバイス、及び他のデバイスを含む。実施形態は、本文脈に限定されない。図1A〜1Fの例において、基板102の特定の領域のドーピングをする。異なる実施形態において、ドーピング動作は、少し領域の名前を挙げると、トランジスタの分離領域、ソース/ドレイン拡張領域、又は、ソース/ドレイン接点領域を表わすことができる。
図1Aに示すように、基板102は、ドーピングの前に除去すべき表面層104を含むことができる。表面層104は、いくつかの例において、自然酸化物又は化学酸化層とすることができる。様々な実施形態において、表面層104はエッチャント106にさらされる。一例において、エッチャント106は、水素プラズマから得られる種を表わし、基板102が低圧に維持される間に、エッチャント106は基板102にぶつかる。表面層104のエッチングを促進するために、基板の温度をターゲットの範囲に上げるように、熱108を基板102に加えることができる。酸化物層をシリコン基板にエッチングする一例において、基板102は、400℃と500℃との間の基板の温度、特に、450℃の基板の温度で、水素プラズマによるエッチングにさらすことができる。曝露時間は、表面層104を除去するのに十分にすることができる。他の実施形態において、酸化物をエッチングするための他の既知のエッチャントを用いることができる。
さて、図1Bに戻るに、注入動作が示され、注入動作は、図1Aに示す動作に続いて実施することができる。いくつかの例において、図1Aの動作と図1Bの動作との間で、基板102が周囲の大気にさらされない間に、注入動作は、図1Aのエッチング動作の後に実施される。様々な実施形態において、ヘリウム種114が表面110に向けられる場合に、基板102はヘリウム種114にさらされる。本例において、表面層104の除去後に、表面110をさらすことができる。続くドーピングプロセスを促進するために、ヘリウム種114は、ターゲットのエネルギー及びターゲットのドーズで、基板102の表面110へ向けることができる。ヘリウム種114は、例えば、500eVから5000eVのエネルギーを有するヘリウムイオンを含むことができ、5×1015/cm2から1×1017/cm2のHeを含むドーズで基板102へ向けることができる。実施形態は、本文脈に限定されない。
図1Bに更に示すように、ヘリウム種114の曝露中に、熱112を基板102に供給することができる。様々な実施形態において、室温(25℃)を超える注入温度を維持するように、基板102を加熱する間に、ヘリウム種114が表面110を通って基板102の中へ注入される。例えば、様々な実施形態において、注入温度は、300℃を超える範囲、特に、300℃と600℃との間の範囲にすることができる。特定の実施形態において、注入温度は、約400℃と約500℃との間の範囲に設定することができる。実施形態は、本文脈に限定されない。
さて、図1Cに戻るに、図1Bの動作の後の基板102の例が示される。表面110に隣接して基板102に改変層120を形成することができる。以下に詳細に記載するように、改変層120は、表面110にわたってドーパントの拡散を促進することにより、基板102のドーピングを増強することができる。特に、改変層120は、ドーピングの完了後に、基板の中への残留損傷を導入することなく、基板のドーピングを増強することができる。
さて、図1Dに戻るに、基板102の表面110の上にドーピング層122を堆積する動作が示される。本例において、ドーピング層122は、改変層120が形成された後に堆積されるが、一方、いくつかの実施形態において、改変層120を創生するために、ヘリウムの注入が実施される前に、ドーピング層122を堆積することができる。様々な実施形態において、基板102を周囲の大気にさらすことなく、ヘリウムの注入後に、基板102の上にドーピング層122を形成することができる。ドーピング層122は、ヒ素、ホウ素、リン又はシリコンなどの基板102をドーピングするための適切なドーパントを含むことができる。実施形態は、本文脈に限定されない。ドーピング層122は、化学蒸着などの既知の技術を用いて堆積することができる。ドーピング層122は、基板102内にターゲットのドープ領域を創生するために、適切な厚さで堆積することができる。いくつかの実施形態において、ドーピング層122は、0.1nmと3nmとの間の厚さを有することができる。実施形態は、本文脈に限定されない。一例として、10nmの厚さの領域などの基板102のターゲット領域を適切なレベルにドープするために、0.1nmの厚さのヒ素の層は有用であり得る。
さて、図1Eに戻るに、図1Dの動作に続く動作が示される。この動作において、ドーピング層122の上にキャッピング層124が堆積される。ドーピング層122からのドーパントを打ち込み、ドーパントを活性化するために、実施される後続の処理中、ドーパントの保持を助けるために、キャッピング層124は有用であり得る。キャッピング層124は、当技術分野で周知のように、シリコン窒化物などの、高温ドーパントアニーリング中の使用に適切な材料から形成することができる。後続の処理の前のドーパントの動きを最小にするために、キャッピング層124は、例えば、室温で堆積することができる。いくつかの例において、キャッピング層124は、その間に基板102を周囲の大気にさらすことなく、ドーピング層122の形成後に、形成することができる。
さて、図1Fに戻るに、ドーピング層122のドーパントを打ち込み、ドーパントを活性化するために、基板102が高温アニーリングにさらされる後続の動作が示される。これは、熱126の基板102への供給により、図式的に示される。適切なアニール温度の例は、半導体材料のタイプだけでなく、ドーパントのタイプと共に変わり得る。シリコン基板をアニールするための適切なアニール温度のいくつかの例は、900℃から1000℃などの800℃より高い温度である。III-V族化合物半導体基板などのシリコン以外の半導体基板をアニールするための適切なアニール温度のいくつかの例は、600℃、700℃又はより高い温度である。アニーリングは、当技術分野で周知のように、炉アニーリング又は急速熱処理装置を用いることにより、行うことができる。活性化アニールの継続時間は、アニール温度により変わり得るが、例えば、継続時間はアニール温度の増大と共に低減し得る。設定温度でのアニール時間が10秒より小さい急速熱アニールの実行は、ドーパントを打ち込み活性化するために、特に有用であり得る。実施形態は、本文脈に限定されない。例えば、温度の増大速度が50℃/s以上のターゲットの加熱速度で、基板を室温からターゲットの温度へ加熱する急速熱アニールを実行することができる。実施形態は、本文脈に限定されない。シリコン基板の場合に、このような急速熱アニールのターゲットの温度は、900℃、950℃又は1000℃にすることができる。実施形態は、本文脈に限定されない。
図式的に図1Fに例示されるように、高められた温度でのアニーリングにより、下方の矢印により示す拡散ドーパント128を生成することができる。拡散ドーパント128は、改変層120の中へ拡散することができる。さらに、拡散ドーパント128は、基板102の結晶格子内の特定の場所の中に、積もることができる。特に、拡散ドーパント128は、改変層120の中で提供される活性場所の中へ拡散することができる。さらに図1Fで示されるように、外への拡散ドーパント129は、キャッピング層124の方へ外の方へ拡散することができる。外への拡散ドーパント129の相対的な量は、拡散ドーパント128の量と異なり得る。外への拡散ドーパント129の相対的な量は、キャッピング層124の組成と共に、また、変わり得る。例えば、ヒ素は、酸化物のキャッピング層の中へもっと急速に拡散することができるが、一方、窒化物のキャッピング層の中へは、迅速には拡散しない。
いくつかの実施形態において、図1Eの動作は省略することができ、その場合、図1Fに対して一般的に論じたようなアニーリングを、キャッピング層なしで行う。このような場合、ドーパント層122の中のドーパントの一部は、基板102から蒸発し得る。
さて、図1Gに戻るに、図1Fのアニーリング動作の後に続くステップが示される。この段階において、基板102は、表面110に隣接するドープ層を含む。キャッピング層124も、いくつかのドーパントを保持することができる。図1Hに示す後続の動作において、例えば、キャッピング層124の所定の材料に適切な既知の選択エッチングプロセスにより、キャッピング層124を除去することができる。ドープ層132として示される高ドープ領域は、更なる処理のための条件であり得る。例えば、ドープ層132が、ソース/ドレイン領域で生じる実施形態において、ドープ層132の領域で、基板102に接触するために、シリサイドなどの金属接点を続いて形成することができる。
様々な実施形態により、ドープ層132は、既知の処理技術により、達成されるレベルより高い活性ドーパントの濃度を有することができる。基板102の中にドーパントを打ち込む前に、熱いヘリウム注入を基板102の中に供給することにより、改変層120は、表面110で形成されるインターフェースにわたって、ドーパントの拡散を促進することができる。
例示的実験において、本発明者は、ドーパントの導入の前に基板を用意するための注入条件を見出したが、その注入条件は、既知の処理技術と比較して、ドーパントの活性化だけでなく、基板のインターフェースにわたるドーパントの拡散も大幅に増強する。図2は、シリコン基板の二次イオン質量分析法(SIMS)の測定結果を示し、ドーパントの打ち込みでのヘリウム注入の効果を例示する。様々な異なる実験条件で、シリコンの表面(0nmの深さ)に対するヒ素の深さプロファイルを表わす一連の曲線が示される。全ての例において、1000℃で5秒間、急速熱アニールを実施する前に、ヒ素の1nmより小さい層を単結晶シリコンの表面に堆積する。曲線204は、ヘリウムが基板に注入されない制御条件を表わす。図示のように、曲線204は、シリコンの表面の近くに位置付けるヒ素の分布を示す。例えば、ピークの濃度は約5×1020/cm2であり、濃度が1×1018/cm2に達する場合の深さは約13nmである。本例でのヒ素の全保持ドーズは、2.63×1014/cm2である。曲線202は、ヒ素の堆積及びそれに続くアニーリングの前に、室温でのヘリウム注入が、1keVのイオンエネルギーで、1×1015/cm2のドーズに実施されるときの、ヒ素の分布を表わす。本例において、ヒ素の濃度が1×1018/cm2における、深さは12nmであり、一方、全保持ドーズは、2.5×1014/cm2である。これにより、1×1015/cm2のレベルでの室温でのヘリウム注入が、注入なしと比較して、基板の中へのヒ素の拡散の増大において、効果的でないことが示される。曲線206は、ヒ素の堆積及びアニーリングの前に、ヘリウムが、室温で1×1016/cm2のドーズに注入されるときの、ヒ素の分布を表わす。本例において、ヘリウムの注入は、アニーリング後の7.25×1014/cm2のヒ素の全保持ドーズをもたらし、ゼロドーズのヘリウムの注入、又は、1×1015/cm2のヘリウム注入とは対照的に、保持において、ほとんど3倍の増大をもたらす。好ましくないことに、曲線206は、表面より下の12nmより深い深さで尾部を示し、尾部は、他の場合より浅い傾斜を有する。ヒ素の濃度は、表面より下の約18nmの深さまで、1×1018/cm2に低下しない。
曲線208は、本発明の実施形態により、ヘリウムの注入が実施された後のヒ素の濃度を表わす。本例において、ヒ素の堆積及びアニーリングの前に、ヘリウムが、450℃で1×1016/cm2のドーズに注入される。本例において、熱いヘリウムの注入は、アニーリング後の5.09×1014/cm2のヒ素の全保持ドーズをもたらし、ゼロドーズのヘリウムの注入、又は、1×1015/cm2のヘリウム注入とは対照的に、保持において、2倍の増大をもたらす。ヒ素の濃度対深さの傾斜は、曲線202及び曲線204に類似であり、一方、表面より下の約18nmの深さで、1×1018/cm2に達する。
シートの抵抗の測定は、注入、ヒ素の堆積及びアニーリングの後に、曲線202〜208に対応するサンプルに追加的に実施された。曲線204に対応するヘリウムを注入しない場合において、シートの抵抗は、表面のプローブ測定により、高すぎる抵抗であった。曲線202に対応する室温でヘリウムを1×1015/cm2のドーズに注入する場合において、測定したRsは22,000Ω/Sqである。この抵抗値は、シリコン基板に組み込まれたヒ素の不完全な活性化を示す。言い換えれば、50%などの保持されるヒ素のドーズの高い割合が活性化されるときの2.5×1014/cm2の保持されるヒ素ドーズに対して、22,000Ω/Sqより、かなり低いシート抵抗が期待される。曲線206に対応する室温でヘリウムを1×1016/cm2のドーズに注入する場合において、測定したRsは3,500Ω/Sqである。この抵抗値も、シリコン基板に組み込まれたヒ素の不完全な活性化を示す。言い換えれば、50%などの保持されるヒ素のドーズの高い割合が活性化されるときの7.25×1014/cm2の保持されるヒ素ドーズに対して、3,500Ω/Sqより、かなり低いシート抵抗が期待される。曲線208に対応する450℃でヘリウムを1×1016/cm2のドーズに注入する場合において、測定したRsは300Ω/Sqである。この抵抗値は、同じヘリウムのドーズを室温で注入する場合の曲線206に対応するサンプルと比べて、ヒ素のはるかに高い活性化を示す。1×1016/cm2のドーズでの高温ヘリウム注入に対する概算見積もりとして、対応する室温でのヘリウムの注入に対して、ヒ素の活性化は約10倍くらい向上することができる。特に、1×1016/cm2のドーズでの室温ヘリウム注入に比べて、アニール後のヒ素の保持量は、いくらか、より少ないが(5×1014/cm2)、抵抗は12分の1に低減する。様々な実施形態において、基板の中のドーパントの活性化レベルは、注入温度が室温のときの、基板の中のドーパントの2番目の活性化レベルより少なくとも5倍とすることができる。
図3A、図3B及び図3Cは、それぞれ、曲線202、曲線206及び曲線208に対応するサンプルの断面電子顕微鏡写真を示す。図3Aに示すように、基板312が、ヒ素のアニーリングの打ち込み前に、1×1015/cm2のヘリウムのドーズの室温で注入される場合に、高濃度の欠陥316(黒ずんだ領域)が表面314の近くに見ることができ、欠陥は、さらに、表面314の下にも延びる。図3Bにおいて、基板322が、ヒ素の打ち込み前に、1×1016/cm2のヘリウムのドーズの室温で注入される場合に、大きいサイズの欠陥326が表面324の近くに見ることができ、欠陥は、また、延びる。図3Cにおいて、基板332が、ヒ素の打ち込み前に、1×1016/cm2のヘリウムのドーズの450℃で注入される場合に、欠陥は表面334の近くの領域336に見ることができない。さらに、基板332は、さらに表面324の下の距離で、目に見える欠陥を示さない。
特定のメカニズムについては、限定なしで、ドーパントの半導体基板の中への増大した拡散及びドーパントの向上した活性化は、高温ヘリウム注入により誘導された特徴の組合せの結果とすることができる。一例として、高温ヘリウム注入は、シリコンなどの単結晶半導体材料の半導体格子の中に空洞を導入することができる。300℃から500℃などの適切な温度範囲で、及び、200eVから20keVの範囲のイオンエネルギーで、5×1015/cm2〜1×1017/cm2の範囲などのヘリウム注入ドーズで、アモルファス領域を生成することなく、結晶基板の表面のちょうど下に、高濃度の空洞を結晶格子の中へ導入することができる。これらの空洞は、ドーパントの熱拡散のための結晶格子の中へのドーパントの拡散を増大するために、作用することができ、一方、ドーパントの活性化のための場所も供給する。
注入中に、基板の温度を十分に高レベルに維持することにより、基板が1×1016/cm2以上などの大量ドーズのヘリウムにさらされるときでさえ、アモルファス層の形成を避けることができる。非限定例として、1×1017/cm2のヘリウムのドーズを、450℃を超える温度で、基板へ向けることができる。1×1017/cm2のヘリウムのドーズで注入後に、基板の温度は450℃であり、一方、500℃の基板の温度において、残留損傷を誘導することなく、最大で2×1017/cm2のヘリウムの推定ドーズを基板に注入することができる。ドーパントを打ち込み、活性化し、アモルファス領域を再結晶化するために、高温アニーリングを実施した後に、注入時のアモルファス層の回避により、低温で注入された基板に生じる不必要な欠陥の形成もさけることができる。1×1016/cm2のヘリウムの室温での注入により、アニールの打ち込み後に、相対的に大きい量の保持されたヒ素のドーパント(7.25×1014/cm2)をもたらした場合の図2及び図3Aを思い起こすと、一方、サンプルは、残留欠陥を示し、かつ、同じヘリウムのドーズで450℃で注入されたサンプルに対してより、はるかにより少ないドーパントの活性化を示す。
さらに、欠陥を十分に消滅させる温度範囲より下に基板の温度を維持することにより、増強した拡散及び活性化という点での空洞の創生の利益は保持することができる。例えば、基板の温度を550℃を超えて600℃へ維持するとき、高温注入中に、空洞及び格子間欠陥は、高速で結合することができ、注入プロセスの完了後に存在する、はるかに少ない数の残留空洞をもたらす。
ヘリウム注入中に、約300℃から500℃の範囲の注入温度を維持する別の特徴は、注入プロセス中に、ヘリウムをダイナミックに追い出す機能である。このように、高温注入後に残るヘリウムの濃度を最小にすることができる。
様々な実施形態において、FinFETなどの3Dデバイスの接点抵抗を向上するために、図1A〜1Hで一般的に概要を述べた動作を加えることができる。図4Aは、FinFETのソース/ドレインに接点領域を形成するためのドーピングプロセスの前の、FinFETデバイス400の断面の一般的特徴を示す。図4Bは、図1Eに一般的に対応するステップでの、図4Aの構造の一部のクローズアップを示す。特に、図4Aにおいて、フィン402として示すフィン構造は、既知の技術により、基板のベース領域406から形成されている。隔離408はフィン402の間にも形成され、フィン402の頂部だけがさらされる。フィン402の頂部は、適切なレベルのドーピングをフィン402の中に導入することにより、接点材料により接触するために、ソース/ドレイン領域として、用いることができる。隣接するフィン構造間の間隔が15nm以下であるノードなどの高度な技術のノードに対して、フィンをドープするためにイオン注入を用いるときに創生される過度な欠陥の形成を避けるために、ドーパントを含むフィルムなどの堆積したドーピング層の熱拡散によるドーピングが有用であり得る。したがって、本発明の実施形態により、ドーピング用のフィンを用意するために、図1A〜1Eの動作を加えることができる。
高温ヘリウム注入(図1Bを参照)により提供される向上した活性化及び拡散の結果は、フィン用のドーパントのソースとして役立つために、より薄いドーパント層を用いる能力である。例えば、ターゲットのヒ素の組込みを達成し、幅Wが20nm以下の狭いフィンで、低い接点抵抗の接点を形成するためのドーパントの活性化レベルを達成するために、0.1nmのヒ素の層は、十分な量のヒ素を供給することができる。本実施形態で用いるこのヒ素のより薄い層は、高温のヘリウムの動作を用いずに実施される既知の技術と対照をなし、既知の技術は、上記で論じたように、ヒ素の活性化の効率が悪いことを償うために、最大2nmの範囲のヒ素の層の厚さを用いることができる。
本実施形態により提供されるより薄いドーパント層の使用の結果は、隣接するフィン間の間隔幅が低減されるので、ドーパントからの拡散により、ドーピングの拡張性の増大である。例えば、特に、図4Bを参照するに、ドーパントの適切な打ち込みを確実にし、アニーリング中のドーパントの損失を容認可能なレベルに維持するために、フィンのドーピングを実施するためのアニーリングプロセスは、2nmなどのキャッピング層の最小の厚さを画定することができる。一例を用いるために、隣接するフィン、すなわち、フィン402、の側壁404間の間隔Sは7nmとすることができる。さらに図4Bに示すように、ドーピング層412がフィン402の側壁404の上にできる。ドーピング層412は、ドーピング層412のドーパントを側壁404の表面を横切ってフィン402の本体の中に打ち込むことにより、フィン402のドーピングソースとして使われる。一例において、ドーピング層412はヒ素の層とすることができ、ドーピング層412の厚さTは0.1nmとすることができる。したがって、水平方向に沿って、隣接するドーパントの層を分離する距離Dは、約6.8nmとすることができる。このシナリオにおいて、(水平方向に沿って、)2nmの厚さを有するキャッピング層410は、2つの隣接する側壁、側壁404に沿って、直ちに形成することができる。ドーピング層412の厚さTが、従来のプロセスにおけるように、2nmであると画定される場合、Dは、次いで、3nm(=7nm−2nm−2nm)である。この後者のシナリオにおいて、2つの隣接するフィンの側壁間の2nmの厚さのキャッピング層410を形成することは、問題があり得る。さらに、5nmなどのより小さいフィンの分離への更なる縮小は、2nmの厚さのドーパントの層及び2nmの厚さのキャッピング層を収容できる空間の欠如により、不可能にされ得る。
異なる実施形態により、高温ヘリウム注入を用いて、向上したドーパントの拡散及び活性化を達成するためのプロセスウインドウは、基板の材料だけでなく、注入イオンエネルギーによっても、変わり得る。例えば、ヘリウムを注入するための最良の注入温度は、シリコン基板とシリコン:ゲルマニウム基板との間で変わり得る。さらに、ヒ素のドーピングの例は本明細書に詳細にされているが、本実施形態は、ホウ素などのp型ドーパントを含む他のドーパント材料を用いたドーピングをカバーする。
図5は、本発明の実施形態による、システム500として示す、処理装置の例を示す。図5は、特に、システム500の頂面図(X−Y平面)を示す。システム500は、本明細書の上記で開示したように、高温でのヘリウム注入を用いる基板のドーピングプロセスを実施するために、特に、有用であり得て、又は、専用にし得る。システム500は、基板520を様々な処理チャンバへ移すために、ロードロック502及び移動チャンバ504を含むクラスターツールとして構成することができる。多数の動作を実施するために、クラスターツールを用いることの優位性は、動作の間に真空を破壊することを避けることであり、これは、動作の間に基板が周囲の大気に(クラスターツールの外で)さらされないことを意味し、個々の動作が、真空下、低圧下、又は、指定ガスの制御圧力下で、実施され得る。システム500は、自然酸化物層を除去するなどの基板のクリーニングを実施するために、エッチングチャンバ506を含むことができる。エッチングチャンバ506は、ガスエッチャント源532に連結することができ、エッチングチャンバ506は、基板から材料をエッチングするために、高温プラズマエッチング種を生成し、又は、いくつかの実施形態において、基板をエッチングするために、他のガスエッチャントを用いる。プラズマエッチング種の例は、酸化物をエッチングするために特に有用な、水素、NF3、Cl2及び他の既知の活性エッチング化学的構造を含む。
システム500は、さらに、ヘリウム源518に連結した高温注入チャンバ508を含むことができる。様々な実施形態において、高温注入チャンバ508は、基板520の中に注入するための、適切なエネルギーのヘリウムイオンを生成するヘリウムプラズマを供給することができる。高温注入チャンバ508は、RF(無線周波数)コイルなどの既知のプラズマ発生器を含むことができ、いくつかの実施形態において、プラズマ浸漬システムとして構成することができる。他の実施形態において、高温注入チャンバ508は、プラズマを発生し、イオンビームを形成する引き出しシステムを有する、別個のプラズマチャンバと共に構成することができ、イオンビームは基板520へ向けられる。高温注入チャンバ508は、放射ヒーター、抵抗ヒーター、誘導ヒーター又は他のヒーターなどのヒーター526として示す任意の適切なヒーターを含むことができる。
システム500は、ドーパント源522に連結されたドーパント堆積チャンバ510も含むことができ、そこで、既知の技術により用意された化学蒸着プロセスにより、ドーパントの堆積が実行される。システム500は、キャッピング材料源524に連結されたキャッピング層チャンバ512も含むことができ、そこで、窒化シリコンなどのキャッピング層を堆積するためのプロセスが実施される。キャッピング層チャンバ512用の適切なプロセスは、CVDプラズマCVD、物理蒸着、又は、他の堆積技術とすることができる。キャッピング層源の例は、適切な材料(例えば、Si、N)、又は、適切な材料を供給する固体ターゲット材料を供給する液体又は気体の源を含む。システム500は、ヒーター528を有するアニーリングチャンバ514も含むことができ、そこで、800℃を超えるアニーリングなどの高温アニーリングが実行される。いくつかの例において、アニーリングチャンバ514は、ランプ又は他の適切なコンポーネントを用いることにより、高速熱アニーリング用に構成することができる。ドーピングプロセス中に、基板520は、外部環境にさらされることなく、システム500の様々なプロセスチャンバの間を移動チャンバ504により、移すことができる。
図6は、本発明の実施形態による、例示的プロセスフロー600を示す。ブロック602において、動作が実施され、300℃より高い注入温度で、基板の表面を通って、ヘリウム種のドーズを基板の中へ注入する。特定の実施形態において、注入温度は、400℃と500℃との間の範囲とすることができる。
ブロック604において、動作が実施され、ドーパントを含むドーピング層を基板の表面に堆積する。いくつかの実施形態において、ドーピング層の厚さは、0.1nmと3nmとの間の範囲とすることができる。ブロック606において、動作が実施され、注入後に、キャッピング層を基板に堆積する。ブロック608において、動作が実施され、アニール温度で基板のアニーリングが実施され、アニール温度は注入温度より高い。適切なアニール温度の例は、800℃から1000℃の範囲を含む。いくつかの実施形態において、アニール温度は、高速熱アニールプロセスのピーク温度を表わすことができ、ピークの継続時間は、10秒より短く、いくつかの場合において、1秒以下である。
本実施形態は、注入される基板をアモルファスにすることなく、堆積層から基板の中へドーパンの拡散を増大する技術の優位性を提供する。この基板をアモルファスにすることを避けることにより、アニーリングの実施後に、ドーパンの活性化の増大の更なる優位性に導くことができる。本実施形態は、FinFETなどの非平面デバイスの堆積層を用いるドーピングプロセスの拡張性の更なる優位性も提供する。
本発明は、本明細書に記載された特定の実施形態によって範囲を限定されるものではない。実際に、本明細書に記載された実施形態に加えて、本発明の他の様々な実施形態および変更は、前述の記載および添付図面から当業者には明らかであろう。したがって、このような他の実施形態および変更は、本発明の範囲内に含まれるものと意図している。さらに、本発明は、特定の環境における特定の目的のための特定の実装の文脈にて本明細書中で説明したけれども、当業者は、本実施形態の有用性はそれらに限定されるものでなく、本実施形態は任意の数の環境における任意の数の目的のために有益に実装し得ることを認識するであろう。従って、以下に記載する特許請求の範囲は本明細書に記載された本発明の全範囲及び精神に鑑みて解釈しなければならない。

Claims (13)

  1. 300℃以上の注入温度で、基板の表面を通って、ヘリウム種のドーズを基板の中へ注入するステップであって、ヘリウム種の前記ドーズは、5×10 15 /cm 2 から2×10 17 /cm 2 のヘリウムのドーズを含み、前記ヘリウム種は、200eVから20keVのエネルギーを含むステップと、
    ドーパントを含むドーピング層を前記基板の前記表面に堆積するステップと、
    アニール温度で前記基板のアニーリングをするステップであって、前記アニール温度は前記注入温度より高い、ステップと、
    前記注入するステップの前に、及び、前記堆積するステップの前に、酸化物層を除去するステップと、
    前記アニーリングをするステップの前に、前記ドーピング層にキャッピング層を堆積するステップと、を有する、基板のドーピング方法。
  2. 前記注入温度は、300℃と600℃との間である、請求項1記載の方法。
  3. 前記ヘリウム種は、200eVから5000eVのエネルギーを含む、請求項1記載の方法。
  4. ヘリウム種の前記ドーズは、5×1015/cm2から1×1017/cm2のヘリウムのドーズを含む、請求項1記載の方法。
  5. 前記ドーピング層は、0.1nmと3nmとの間の厚さを有する、請求項1記載の方法。
  6. 前記ドーパントは、ヒ素、ホウ素、リン又はシリコンを含むフィルムを含む、請求項1記載の方法。
  7. 前記基板は、シリコン、ゲルマニウム、炭化ケイ素(SiC)、シリコン:ゲルマニウム合金、III−V族化合物半導体、又は、II−VI族化合物半導体の内の1つを含む、請求項1記載の方法。
  8. 前記ドーピング層を前記堆積するステップは、ヘリウム種の前記ドーズを前記基板の中へ前記注入するステップの前に行われる、請求項1記載の方法。
  9. 前記基板の前記アニーリングをするステップは、高速熱アニーリングを実施するステップを有し、温度上昇の速度は50℃/sより速く、アニール温度は900℃より高く、アニール時間は10秒より短い、請求項1記載の方法。
  10. 前記ドーパントを含む前記ドーピング層を前記基板の前記表面に前記堆積するステップ、ヘリウム種の前記ドーズを前記基板の中へ前記注入するステップ及び前記アニール温度で前記基板の前記アニーリングをするステップを有する動作は、動作の間に真空を破壊しないで、クラスターツールの中で行われ、前記方法は、さらに、
    ヘリウム種の前記ドーズを前記基板の中へ前記注入するステップ及び前記ドーパントを含む前記ドーピング層を前記基板の前記表面に前記堆積するステップの前に、酸化物層を除去するステップと、
    ヘリウム種の前記ドーズを前記基板の中へ前記注入するステップの後に、及び、前記ドーパントを含む前記ドーピング層を前記基板の前記表面に前記堆積するステップの後に、及び、前記アニール温度で前記基板の前記アニーリングをするステップの前に、前記基板にキャッピング層を堆積するステップと、を有し、前記酸化物層を前記除去するステップ及び前記キャッピング層を該堆積するステップは、さらに、動作の間に真空を破壊しないで、前記クラスターツールの中で実施される、請求項1記載の方法。
  11. 300℃を超える注入温度で、基板の表面を通って、ヘリウムのドーズを基板の中へ注入するステップであって、ヘリウムの前記ドーズは5×1015/cm2以上を含み、前記ヘリウム種は、200eVから20keVのエネルギーを含む、ステップと、
    ドーパントを含むドーピング層を前記基板の前記表面に堆積するステップであって、前記ドーピング層は1nmより薄い厚さを有する、ステップと、
    600℃より高いアニール温度で前記基板のアニーリングをするステップと、
    前記注入するステップの前に、及び、前記堆積するステップの前に、酸化物層を除去するステップと、
    前記アニーリングをするステップの前に、前記ドーピング層にキャッピング層を堆積するステップと、を有する、半導体デバイスのドーピング方法。
  12. 前記基板の中の前記ドーパントの1番目の活性化レベルは、前記注入温度が室温のときの、前記基板の中の前記ドーパントの2番目の活性化レベルより少なくとも5倍である、請求項11記載の方法。
  13. 前記基板はフィン型トランジスタデバイスを備え、前記フィン型トランジスタデバイスの隣接するフィン構造間の間隔は10nmより小さく、前記ドーピング層の厚さは0.5nm以下である、請求項1記載の方法。
JP2018532148A 2015-12-22 2016-11-28 基板のドーピング方法、半導体デバイスのドーピング方法及び基板をドーピングするシステム Active JP6867393B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/977,849 US9589802B1 (en) 2015-12-22 2015-12-22 Damage free enhancement of dopant diffusion into a substrate
US14/977,849 2015-12-22
PCT/US2016/063841 WO2017112353A1 (en) 2015-12-22 2016-11-28 Damage free enhancement of dopant diffusion into a substrate

Publications (3)

Publication Number Publication Date
JP2019504493A JP2019504493A (ja) 2019-02-14
JP2019504493A5 JP2019504493A5 (ja) 2020-01-09
JP6867393B2 true JP6867393B2 (ja) 2021-04-28

Family

ID=58163488

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018532148A Active JP6867393B2 (ja) 2015-12-22 2016-11-28 基板のドーピング方法、半導体デバイスのドーピング方法及び基板をドーピングするシステム

Country Status (6)

Country Link
US (3) US9589802B1 (ja)
JP (1) JP6867393B2 (ja)
KR (1) KR20180087426A (ja)
CN (1) CN108431925B (ja)
TW (1) TWI721033B (ja)
WO (1) WO2017112353A1 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10985677B2 (en) 2017-04-10 2021-04-20 Face International Corporation Systems and devices powered by autonomous electrical power sources
US11605770B2 (en) 2017-04-10 2023-03-14 Face International Corporation Autonomous electrical power sources
US10079561B1 (en) 2016-04-09 2018-09-18 Face International Corporation Energy harvesting components and devices
US9793317B1 (en) 2016-04-09 2017-10-17 Face International Corporation Devices and systems incorporating energy harvesting components/devices as autonomous energy sources and as energy supplementation, and methods for producing devices and systems incorporating energy harvesting components/devices
US10109781B1 (en) 2017-04-10 2018-10-23 Face International Corporation Methods for fabrication, manufacture and production of an autonomous electrical power source
US10056538B1 (en) 2016-04-09 2018-08-21 Face International Corporation Methods for fabrication, manufacture and production of energy harvesting components and devices
US11957922B2 (en) 2016-04-09 2024-04-16 Face International Corporation Structurally embedded and inhospitable environment systems having autonomous electrical power sources
US9786718B1 (en) 2016-04-09 2017-10-10 Face International Corporation Integrated circuit components incorporating energy harvesting components/devices, and methods for fabrication, manufacture and production of integrated circuit components incorporating energy harvesting components/devices
US9893261B1 (en) 2017-04-10 2018-02-13 Face International Corporation Structurally embedded and inhospitable environment systems and devices having autonomous electrical power sources
US11980102B2 (en) 2016-04-09 2024-05-07 Face International Corporation Systems and devices powered by autonomous electrical power sources
US10032628B2 (en) * 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
DE102016112139B3 (de) * 2016-07-01 2018-01-04 Infineon Technologies Ag Verfahren zum Reduzieren einer Verunreinigungskonzentration in einem Halbleiterkörper
KR102550651B1 (ko) * 2018-06-22 2023-07-05 삼성전자주식회사 반도체 소자 및 그의 제조 방법
KR102577262B1 (ko) * 2018-08-14 2023-09-11 삼성전자주식회사 확산 방지 영역을 갖는 반도체 소자
KR102251234B1 (ko) 2019-08-21 2021-05-13 주식회사 시노펙스 스트로크 거리가 증가된 포스 센서 스위치
US20220231144A1 (en) * 2021-01-15 2022-07-21 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor structure, method for manufacturing the same, and transistor
US20230187222A1 (en) * 2021-12-13 2023-06-15 Applied Materials, Inc. Adapting electrical, mechanical, and thermal properties of package substrates

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3718502A (en) * 1969-10-15 1973-02-27 J Gibbons Enhancement of diffusion of atoms into a heated substrate by bombardment
JP3464247B2 (ja) * 1993-08-24 2003-11-05 株式会社東芝 半導体装置の製造方法
EP0897594B1 (en) * 1996-05-08 2004-07-28 Advanced Micro Devices, Inc. Control of junction depth and channel length using generated interstitial gradients to oppose dopant diffusion
US6153524A (en) * 1997-07-29 2000-11-28 Silicon Genesis Corporation Cluster tool method using plasma immersion ion implantation
JP2001189288A (ja) * 1999-12-20 2001-07-10 Ind Technol Res Inst イオン注入利用の基板ダイシング法
US6436614B1 (en) * 2000-10-20 2002-08-20 Feng Zhou Method for the formation of a thin optical crystal layer overlying a low dielectric constant substrate
US20080194086A1 (en) * 2004-06-04 2008-08-14 Yuichiro Sasaki Method of Introducing Impurity
TW200703462A (en) * 2005-04-13 2007-01-16 Univ California Wafer separation technique for the fabrication of free-standing (Al, In, Ga)N wafers
TW200707799A (en) * 2005-04-21 2007-02-16 Aonex Technologies Inc Bonded intermediate substrate and method of making same
JP5528515B2 (ja) * 2006-07-28 2014-06-25 一般財団法人電力中央研究所 SiCバイポーラ型半導体素子
JP5155536B2 (ja) * 2006-07-28 2013-03-06 一般財団法人電力中央研究所 SiC結晶の質を向上させる方法およびSiC半導体素子の製造方法
US8450193B2 (en) * 2006-08-15 2013-05-28 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
FR2905801B1 (fr) * 2006-09-12 2008-12-05 Soitec Silicon On Insulator Procede de transfert d'une couche a haute temperature
WO2009034699A1 (ja) * 2007-09-10 2009-03-19 Panasonic Corporation 半導体装置の製造方法
US20090162966A1 (en) * 2007-12-21 2009-06-25 The Woodside Group Pte Ltd Structure and method of formation of a solar cell
US8372735B2 (en) 2008-08-14 2013-02-12 Varian Semiconductor Equipment Associates, Inc. USJ techniques with helium-treated substrates
KR20110089291A (ko) * 2008-10-29 2011-08-05 이노바라이트, 잉크. 기판에 다중 도핑된 접합을 형성하는 방법
US9685186B2 (en) * 2009-02-27 2017-06-20 Applied Materials, Inc. HDD pattern implant system
FR2949606B1 (fr) * 2009-08-26 2011-10-28 Commissariat Energie Atomique Procede de detachement par fracture d'un film mince de silicium mettant en oeuvre une triple implantation
US20110300696A1 (en) * 2010-06-02 2011-12-08 Varian Semiconductor Equipment Associates, Inc. Method for damage-free junction formation
US9076719B2 (en) * 2013-08-21 2015-07-07 The Regents Of The University Of California Doping of a substrate via a dopant containing polymer film
US20150214339A1 (en) * 2014-01-24 2015-07-30 Varian Semiconductor Equipment Associates, Inc. Techniques for ion implantation of narrow semiconductor structures
US10249498B2 (en) 2015-06-19 2019-04-02 Tokyo Electron Limited Method for using heated substrates for process chemistry control

Also Published As

Publication number Publication date
WO2017112353A1 (en) 2017-06-29
CN108431925B (zh) 2022-08-02
TWI721033B (zh) 2021-03-11
JP2019504493A (ja) 2019-02-14
CN108431925A (zh) 2018-08-21
US9953835B2 (en) 2018-04-24
US20180240670A1 (en) 2018-08-23
US20170178908A1 (en) 2017-06-22
US9589802B1 (en) 2017-03-07
TW201732868A (zh) 2017-09-16
KR20180087426A (ko) 2018-08-01

Similar Documents

Publication Publication Date Title
JP6867393B2 (ja) 基板のドーピング方法、半導体デバイスのドーピング方法及び基板をドーピングするシステム
TWI533357B (zh) 藉由固相擴散形成超淺硼摻雜區域之方法
TWI478215B (zh) 藉由固相擴散形成超淺摻雜區域之方法
US9773675B2 (en) 3D material modification for advanced processing
US8114761B2 (en) Method for doping non-planar transistors
US10043890B2 (en) Method of forming spacers for a gate of a transistor
TWI609413B (zh) 在基板上形成分子摻雜物單層之方法
JP2019504493A5 (ja) 基板のドーピング方法、半導体デバイスのドーピング方法及び基板をドーピングするシステム
CN107949918B (zh) 使用保形掺杂物沉积的3D Si结构中的保形掺杂
KR20140012727A (ko) 컨포멀한 도핑을 위한 방법들 및 장치
TWI668730B (zh) 用於源極/汲極工程的整合系統及方法
US9379021B2 (en) Method to reduce K value of dielectric layer for advanced FinFET formation
US20140159120A1 (en) Conformal Doping
CN112385046A (zh) 利用先进控制的整合cmos源极漏极形成
Baik et al. Conformal and ultra shallow junction formation achieved using a pulsed-laser annealing process integrated with a modified plasma assisted doping method
CN112885716B (zh) 半导体结构的形成方法
CN108630535B (zh) 半导体结构及其形成方法
US11424164B2 (en) Enhanced etch resistance for insulator layers implanted with low energy ions
TWI559373B (zh) 對低阻抗原位摻雜的矽磊晶添加碳
TWI834755B (zh) 用於結合的選擇性單層摻雜的方法和設備
TW201203375A (en) Method for damage-free junction formation
TW202024363A (zh) 用於結合的選擇性單層摻雜的方法和設備
KR20080064450A (ko) 반도체 소자 제조 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191122

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191122

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20201111

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201201

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210226

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210323

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210408

R150 Certificate of patent or registration of utility model

Ref document number: 6867393

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250