KR20140012727A - 컨포멀한 도핑을 위한 방법들 및 장치 - Google Patents

컨포멀한 도핑을 위한 방법들 및 장치 Download PDF

Info

Publication number
KR20140012727A
KR20140012727A KR1020137026918A KR20137026918A KR20140012727A KR 20140012727 A KR20140012727 A KR 20140012727A KR 1020137026918 A KR1020137026918 A KR 1020137026918A KR 20137026918 A KR20137026918 A KR 20137026918A KR 20140012727 A KR20140012727 A KR 20140012727A
Authority
KR
South Korea
Prior art keywords
substrate
doping
dopant
cap layer
dopant region
Prior art date
Application number
KR1020137026918A
Other languages
English (en)
Inventor
카르틱 산타남
마틴 에이. 힐케네
마노즈 벨라이칼
마크 알. 리
매튜 디. 스코트니-캐슬
페터 아이. 포르쉬네브
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140012727A publication Critical patent/KR20140012727A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma Technology (AREA)
  • Semiconductor Memories (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Thin Film Transistor (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본원에서는 기판을 프로세싱하기 위한 방법들 및 장치가 제공된다. 일부 실시예들에서, 기판을 도핑하는 방법은, 플라즈마 도핑 프로세스를 이용하여 기판의 도펀트 영역 내로 하나 또는 둘 이상의 도펀트 원소(dopant element)들을 주입함으로써 기판 상에 도펀트 영역을 형성하는 단계; 도펀트 영역 상에 캡 층(cap layer)을 형성하는 단계; 캡 층을 형성한 후, 도펀트 영역을 어닐링하는 단계; 및 도펀트 영역을 어닐링한 후, 캡 층을 제거하는 단계를 포함할 수 있다.

Description

컨포멀한 도핑을 위한 방법들 및 장치{METHODS AND APPARATUS FOR CONFORMAL DOPING}
본 발명의 실시예들은 일반적으로 반도체 제조에 관한 것으로서, 보다 구체적으로는, 기판들을 도핑하기 위한 방법들에 관한 것이다.
컨포멀한 FINFET, 컨포멀한 DRAM 및 컨포멀한 플래시 도핑 응용(application)을 목적으로 하는 N-타입 주입 프로세스들에 대해 이용되는 전형적인 도펀트들은 비소(arsenic) 및 인(phosphorus)을 포함한다. 통상적으로, 이온 빔 주입 프로세스는 기판들을 도핑(dope)하는 데에 이용되며, 빔라인 프로세스(beamline process)라 지칭된다. 이러한 빔라인 프로세스에서, 기판 상에 형성되는 피쳐(feature)들의 측벽 표면들이 만족스럽게 주입되도록 보장하기 위해서는, 다양한 기판 경사 조합(tilt combination)들이 이용될 필요가 있다. 하지만, 기판의 높은 경사 각도들에서, 근접하게 패킹된(closely packed) 구조들의 측벽들은 이온 빔에 완전히 노출되지 않을 수 있으며, 이에 의해 도펀트 재료의 비 균일한 분포를 바람직하지 않게 이끌게 된다. 이것은 섀도잉 효과(shadowing effect)로서 알려져있다.
따라서, 본 발명자들은 개선된 컨포멀한 도핑 방법들을 제공한다.
본원에서는 기판들의 컨포멀한 도핑을 위한 방법들 및 장치가 제공된다. 일부 실시예들에서, 본 발명의 방법들은 유익하게는 도펀트 손실을 제한하고 강화된 도펀트 확산을 달성할 수 있다. 본원에서는 기판을 프로세싱하기 위한 방법들 및 장치가 제공된다. 일부 실시예들에서, 기판을 도핑하는 방법은, 플라즈마 도핑 프로세스를 이용하여 기판의 도펀트 영역 내로 하나 또는 둘 이상의 도펀트 원소(dopant element)들을 주입함으로써 기판 상에 도펀트 영역을 형성하는 단계; 도펀트 영역 상에 캡 층(cap layer)을 형성하는 단계; 캡 층을 형성한 후, 도펀트 영역을 어닐링하는 단계; 및 도펀트 영역을 어닐링한 후, 캡 층을 제거하는 단계를 포함할 수 있다.
일부 실시예들에서, 기판을 도핑하는 방법은, 플라즈마 도핑 프로세스로 기판의 도펀트 영역 내로 하나 또는 둘 이상의 도펀트 원소들을 주입함으로써 기판 상에 도펀트 영역을 형성하는 단계; 도펀트 영역 상에 캡 층을 형성하는 단계; 캡 층의 두께를 감소시키는 단계; 캡 층의 두께를 감소시킨 후, 도펀트 영역을 어닐링하는 단계; 및 도펀트 영역을 어닐링한 후, 도펀트 영역의 상부로부터 캡 층을 제거하는 단계를 포함할 수 있다.
본 발명의 다른 및 추가의 실시예들이 하기에서 설명된다.
앞서 간략히 요약되고 하기에서 보다 상세히 설명되는 본 발명의 실시예들은 첨부된 도면들에 도시된 본 발명의 예시적인 실시예들을 참조하여 이해될 수 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 본 발명의 일부 실시예들에 따른, 도펀트 손실을 제한하고 강화된 도펀트 확산을 달성하는 방법의 흐름도이다.
도 2a-2c는 본 발명의 일부 실시예들에 따른, 프로세싱의 상이한 스테이지들 동안 기판의 예시적인 단면도들을 도시한다.
도 3은 본 발명의 일부 실시예들에 따른 플라즈마 액침(immersion) 이온 주입 프로세스 챔버를 도시한다.
도 4는 본 발명의 일부 실시예들에 따른, 본 발명의 일부분들을 수행하는 데에 적합한 클러스터 툴을 도시한다.
이해를 용이하게 하기 위하여, 도면들에서 공통되는 동일한 요소들을 표시하기 위해 가능한 한 동일한 참조번호들이 사용되었다. 도면들은 일정한 비율로 도시된 것은 아니며, 명료함을 위해 단순화될 수 있다. 일 실시예의 요소들 및 특징들은 추가의 언급없이 다른 실시예들에 유리하게 통합될 수 있음이 고려된다.
본 발명의 실시예들은 기판들을 도핑하기 위한 개선된 방법들을 제공한다. 본 발명의 실시예들은 유익하게는 도펀트 손실을 제한할 수 있고, 강화된 도펀트 확산을 달성할 수 있다. 본 발명의 실시예들이 이용될 수 있는 응용들의 예시적이지만 비-제한적인 예들로는, 로직(logic), DRAM, 플래시, 및 FINFET 구조들 및 디바이스들의 제조가 포함된다. 개선된 컨포멀한 도핑 프로세스를 위한 목표 분야(target area)들의 예시적이지만 비-제한적인 예들로는, 폴리실리콘, USJ(ultra shallow junction), 소스 드레인 영역들, 및 실리콘 딥 트렌치(deep trench) 영역들이 포함될 수 있다.
본 발명자들은, 플라즈마 도핑 기술이 단순성 및 높은 생산성으로 인해 전형적인 빔라인 이온 주입에 대한 매력적인 대안이라는 것을 관찰하였다. 하지만, 본 발명자들은, 플라즈마 도핑된 기판들은 도펀트들의 매우 높은 표면 농도를 갖는 경향이 있다는 것을 관찰하였다. 결과적으로, 본 발명자들은, 예를 들어, 연장된 시간들 동안 높은 온도들에 노출되는 동안, 포스트 도핑(post doping) 프로세싱에서 도펀트들이 손실될 수 있는 것으로 믿고 있다. 도펀트 손실은, 도핑과 후속 프로세스들(이를 테면, 어닐링 프로세스) 간의 지연 시간, 어닐링 온도 및 주변의 대기(ambient atmosphere)를 포함하는 많은 요인들에 의존할 수 있다. 또한, 본 발명자들은, 비소(As) 또는 인(P) 함유 표면 막은, 상기 논의된 도펀트 손실 문제와 결합되는 이러한 원소들의 높은 유독성(toxicity)으로 인해 안전상 위험하다고(safety hazard) 믿고 있다. 예를 들어, 대기에 노출되는 비소 주입된 기판들은 가스를 방출하고(out-gas) 그리고 아르신(AsH3)을 릴리싱(relasing)하게 될 것인데, 이러한 아르신은 50 ppb(parts per billion) 미만의 허용한계값(threshold limit value, TLV)을 갖는다.
본 발명자들은 또한, 도핑된 기판들 상에서 어닐링 프로세스가 수행된 후, 가스 방출의 위험이 제거되며(또는 크게 제한됨), 이에 따라 비소 및 인 도핑된 기판들을 취급하기에 더 안전하게 하는 것을 관찰하였다. 또한, 본 발명자들은, 어닐링된 기판들은, 대기에 노출될 때, 도펀트를 손실하지 않는 다는 것을 관찰하였다.
도 1은 본 발명의 일부 실시예들에 따른, 기판을 도핑하기 위한 방법(100)을 도시한다. 도 2a-2c는 본 발명의 일부 실시예들에 따른, 도 1에 도시된 본 발명의 방법(100)의 상이한 스테이지들 동안 기판의 단면도들을 도시한다.
방법(100)은 일반적으로 102에서 시작되며, 여기에서는 도 2a에 도시된 바와 같이, 도펀트 영역(202)이 기판(200) 상에 형성된다. 도펀트 영역(202)은, 이를 테면 플라즈마 지원 주입 프로세스(plasma assisted implantation process)와 같은 주입 프로세스로 기판(200) 내에 하나 또는 둘 이상의 도펀트들을 주입함으로써 형성될 수 있다. 대안적으로 또는 조합하여, 도핑 프로세스는 또한 기판(200)의 표면 상에 도펀트 전구체를 증착함으로써 수행될 수 있다. 어느 프로세스이든, 이를 테면 플라즈마 지원 도핑 챔버와 같은 임의의 적합한 도핑 챔버(예를 들어, 제 1 프로세스 챔버)에서 수행될 수 있다. 적합한 도핑 챔버들의 예들로는, 제한되는 것은 아니지만, 캘리포니아 산타클라라에 소재하는 어플라이드 머티어리얼스 인코포레이티드(Applied Materials, Inc.)로부터 상업적으로 입수가능한 CONFORMATM 리액터를 포함하는 플라즈마 이온 액침 주입 리액터가 포함된다. 그러한 하나의 적합한 프로세스 챔버가 도 3와 관련하여 하기에서 설명된다. 비록 본원에서는 본 발명의 실시예들을 예시하기 위해 특정 프로세스 챔버들이 제공될 수 있지만, 다른 제조업자들로부터의 프로세스 챔버들을 포함하여, 다른 적합한 프로세스 챔버들이 또한 이용될 수 있음이 고려된다.
기판(200)은 반도체 디바이스들의 제조에 이용되는 임의의 적합한 재료를 포함할 수 있다. 예를 들어, 일부 실시예들에서, 기판은, 반도체 구조들 및/또는 디바이스들을 형성하기 위한, 반도체 재료 및/또는 반도체 재료들과 비-반도체(non-semiconductive) 재료들의 조합들을 포함할 수 있다. 예를 들어, 기판은, 이를 테면 결정 실리콘(예를 들어, Si<100> 또는 Si<111>), 실리콘 산화물, 스트레인드 실리콘(strained silicon), 폴리실리콘, 실리콘 웨이퍼들, 유리, 사파이어 등과 같은 하나 또는 둘 이상의 실리콘-함유 재료들을 포함할 수 있다. 기판은 또한, 이를 테면 200 또는 300mm 웨이퍼, 정방형 또는 직사각형 패널(panel)들 등과 같은 임의의 희망하는 기하형상(geometry)을 가질 수 있다. 일부 실시예들에서, 기판(200)은 반도체 웨이퍼(예를 들어, 200mm, 300mm 등의 실리콘 웨이퍼)일 수 있다.
일부 실시예들에서, 기판은 도핑되지 않을 수 있거나, 또는 이후 도핑될 비도핑 영역들(undoped regions)을 포함할 수 있다. 본원에서 이용되는 바와 같이, "비도핑(undoped)"은 내부에 포함된 n-타입 또는 p-타입 도펀트를 갖지 않음을 의미한다. 대안적으로, 일부 실시예들에서, 기판은 도핑될 수 있으며, 기판 또는 기판의 일부분들의 추가의 도핑이 수행된다. 기판(200)은 하나 또는 둘 이상의 층들을 포함할 수 있으며, 그리고 일부 실시예들에서, 기판(200)은 기판 내에 또는 기판 상에 배치(dispose)되는 완료된 또는 부분적으로 완료된 전자 디바이스들을 더 포함할 수 있다.
기판을 도핑할 때, 기판의 전체 표면이 도핑될 수 있거나, 또는 기판의 선택된 영역들이 도핑되어야 하는 경우에는, 도핑되지 않아야 하는 기판의 영역들을 보호하기 위해, 이를 테면 패터닝된 포토레지스트 층과 같은 패터닝된 마스크 층이 기판 상에 증착될 수 있다. 예를 들어, 일부 실시예들에서는, 기판(200)의 일부분들 상에만 도펀트 영역(202)이 형성되도록, 이를 테면 포토레지스트의 층과 같은 마스킹 층이 제공되고 패터닝될 수 있다.
주입될 하나 또는 둘 이상의 도펀트들은, 전형적으로 반도체 도핑 프로세스들에서 이용되는 임의의 적합한 원소 또는 원소들을 포함할 수 있다. 적합한 도펀트들의 예들은, 비 한정적인 예로, 비소(As), 붕소(B), 인듐(In), 인(P), 안티몬(antimony) 등과 같은, 3족 원소들 또는 5족 원소들 중에서 하나 또는 둘 이상을 포함한다. n-타입 도펀트들의 예들은 인, 비소 등 중에서 적어도 하나를 포함할 수 있다. 예를 들어, 아르신(AsH3), 디-아세닉 플루오라이드(di-arsenic fluoride, As2F5), 포스핀(PH3), 또는 삼불화인(phosphorus trifluoride, PF3)이, 컨포멀한 FINFET(FIN 전계 효과 트랜지스터들), 컨포멀한 DRAM(다이내믹 랜덤 액세스 메모리) 및 컨포멀한 플래시 도핑 응용들을 목표로 하는 n-타입 주입 프로세스에 대해 이용되는 전형적인 도펀트 전구체들이다. p-타입 도핑에 대해서는, 이를 테면 삼불화붕소(BF3), 디보란(diborane, B2H6) 등과 같은 붕소-함유 전구체들이 이용될 수 있다. 기판, 또는 기판의 일부분들의 재료 변경에 적합한 다른 도펀트들의 예들에는, 게르만(germane, GeH4), 메탄(CH4), 이산화탄소(CO2), 사불화탄소(CF4), 시레인(SiH4), 사불화실리콘(SiF4), 질소(N2) 및 산소(O2)가 포함된다.
일부 실시예들에서, 하나 또는 둘 이상의 도펀트들은, 최소의 가스 유동을 가지면서 매우 낮은 압력에서 저 에너지 주입 프로세스(low energy implant process)를 이용하여 주입될 수 있다. 이러한 프로세스는 유익하게는 주입 동안 중성 이온들(neutral ions)의 기여(contribution)를 부가할 수 있는데, 이는 도즈량 레이트(dose rate)를 늦춘다(slow down). 대안적으로, 저 에너지 주입 프로세스에서 도펀트 이온들의 체류 시간(residence time)을 증가시키기 위해, 주입 압력이 증가될 수 있으며 그리고 프로세스 가스 유동이 감소될 수 있다.
예를 들어, 도펀트 영역(202)은 하나 또는 둘 이상의 전구체 가스들을 포함하는 제 1 프로세스 가스를 제공함으로써 형성될 수 있다. 하나 또는 둘 이상의 전구체 가스들은, 예를 들어 상기 설명된 도펀트 원소들중 임의의 것과 같은, 주입될 원소들을 포함한다. 제 1 프로세스 가스는 적합한 유량, 예를 들어 약 0.5 내지 약 400 sccm의 유량으로 제공될 수 있다. 일부 실시예들에서, 제 1 프로세스 가스는, 예를 들어, 제한하는 것은 아니지만, 아르곤, 헬륨, 질소 등을 포함하는 비활성 가스와 같은 캐리어 가스를 더 포함할 수 있다. 캐리어 가스가 제공되는 실시예들에서, 이러한 캐리어 가스는 약 90 퍼센트까지의 제 1 프로세스 가스, 또는 약 1 내지 약 99 퍼센트의 제 1 프로세스 가스를 포함할 수 있다.
기판 내에 하나 또는 둘 이상의 도펀트들을 주입하여 도펀트 영역을 형성하기 위해, 또는 기판 상에 하나 또는 둘 이상의 도펀트들을 증착하여 도펀트 영역을 형성하기 위해, 제 1 프로세스 가스로부터 플라즈마가 생성될 수 있다. 플라즈마는, (예를 들어, MHz 또는 GHz 범위의) 플라즈마를 형성하기에 적합한 주파수에서 소스 전력을 인가함으로써 형성될 수 있다.
기판(200) 쪽으로의 이온들의 플럭스(flux)를 제어하기 위해, 그리고 일부 실시예들에서는, 기판(200) 내로의 이온 침투(ion penetration)의 깊이를 제어하기 위해, 주입 및/또는 증착 동안 기판(200)은 바이어싱(biasing)될 수 있다. 예를 들어, 일부 실시예들에서, 약 0.5 내지 약 60MHz 또는 약 2MHz의 주파수에서 약 50 내지 3000 와트까지의 기판 바이어스 전력이 제공될 수 있다. 주입 및/또는 증착 동안, 소스 RF 전력은 약 100 내지 3000 와트일 수 있으며, 주파수는 약 0.5 내지 약 60MHz, 또는 약 13.5MHz 일 수 있다.
플라즈마는 저압 프로세스로 형성될 수 있으며, 이에 의해 기판(200) 내에서의 오염에 의해 야기되는 결함들(contamination induced defects)의 가능성 및/또는 실리콘-함유 층과 같은 임의의 하부 층(underlying layer)의 비정질화(amorphization)를 감소시킨다. 예를 들어, 일부 실시예들에서, 이온 주입은 약 1 내지 약 500mTorr의 압력에서 수행될 수 있다. 또한, 심지어 이러한 저압 레벨들에서도 발생할 수 있는, 이온 충격에 의해 야기되는 결함들은, 원격 플라즈마 소스를 이용함으로써, 또는 선택적으로는, 플라즈마 소스 전력을 펄싱(pulsing)함으로써, 더 제한되거나 방지될 수 있다.
대안적으로 또는 조합하여, 주입 프로세스 대신에 또는 주입 프로세스에 부가하여, 도펀트 영역(202)을 형성하기 위해 하나 또는 둘 이상의 도펀트들이 기판(200) 상에 증착될 수 있다. 하나 또는 둘 이상의 도펀트들은, 예를 들어, 상기 설명한 주입 프로세스를 수행하기에 적합한 동일한 챔버에서, 기판(200) 상에 증착될 수 있다. 예를 들어, 기판 바이어스 전압을 감소시키거나 또는 제거함으로써, 하나 또는 둘 이상의 도펀트들이 기판 상에 증착될 수 있다. 증착되는 막의 두께는, 기판 바이어스 전압을 갖지 않는(또는 감소된 기판 바이어스 전압을 갖는) 프로세스의 지속기간(duration), 하나 또는 둘 이상의 도펀트들의 유량, 프로세스 챔버 내에서의 압력, 및 플라즈마 소스 전력의 레벨에 의해 제어될 수 있다.
다음으로, 104에서, 도 2b에 도시된 바와 같이, 도펀트 영역(202) 상에 캡 층(204)이 증착된다. 본 발명자들은, 플라즈마 기술에 의해 주입될 때, 도펀트들은 이후의 어닐링 프로세스 동안 주변의 대기와의 상호작용으로 인해 도즈량(dose)을 손실하는 경향이 있다는 것을 관찰하였다. 예를 들어, 어닐링 동안, 도즈량의 약 50%가 주변의(ambient) 산소(O2)에서 손실될 수 있고, 도즈량의 약 90%가 주변의 질소(N2)에서 손실될 수 있다. 따라서, 캡 층(204)은 유익하게는, 도펀트 영역(202)으로부터의 도펀트 손실을 막기 위해 도펀트 영역(202)과 대기 사이의 물리적인 장벽의 역할을 할 수 있다. 도펀트들이 유독성의 또는 다른(otherwise) 위험한 재료들을 포함하는 실시예들에서, 캡 층은 기판을 취급하기가 더 안전하게 한다.
일부 실시예들에서, 캡 층(204)은, 이를 테면 실리콘 이산화물(SiO2), 시레인(SiH4), 실리콘 카바이드(SiC) 등과 같은 실리콘 함유 재료로부터 형성된다. 일부 실시예들에서, 캡 층(204)은 약 100Å까지 범위의 두께를 가질 수 있다. 캡 층(204)은, 이를 테면 화학 기상 증착("CVD"), 물리 기상 증착("PVD") 등과 같은 임의의 적합한 프로세스에 의해 증착될 수 있다. 캡 층(204)은 증착 챔버에서 형성될 수 있다. 일부 실시예들에서, 증착 챔버는 도펀트 영역(202)이 형성되었던 제 1 프로세스 챔버일 수 있다(즉, 캡 층(204)은 인시츄로 형성될 수 있다). 대안적으로, 캡 층(204)은 도펀트 영역(202)이 형성되었던 프로세스 챔버와 다른 프로세스 챔버에서 형성될 수 있다. 이러한 실시예들에서, 기판은, 예를 들어 도 4와 관련하여 하기 설명되는 클러스터 툴을 이용함으로써, 진공 하에서 유지되면서 캡 층 증착 챔버로 이송될 수 있다.
다음으로, 106에서, 캡 층(204)의 두께를 감소시키기 위해, 캡 층(204)이 선택적으로 제거될 수 있다. 캡 층의 두께를 감소시키게 되면, 하기 논의되는 바와 같이, 기판을 어닐링한 후 캡 층(204)의 더 용이하고 더 빠른 제거를 촉진시킨다. 캡 층(204)은 식각 챔버에서 식각될 수 있다. 식각 챔버는 캡 층(204)이 형성되었던 동일한 프로세스 챔버(예를 들어, 제 1 프로세스 챔버 또는 캡 층 증착 챔버)일 수 있거나, 또는 상이한 프로세스 챔버일 수 있다. 일부 실시예들에서, 캡 층(204)은 도펀트 영역(202)이 가스를 방출하고 및/또는 대기와 반응하는 것을 막기 때문에, 기판은 진공 하에서 유지되지 않으면서 식각을 위해 프로세스 챔버로 이송될 수 있다.
도핑 프로세스 동안 기판(200)을 보호하기 위해 마스크 층이 이용되는 실시예들에서, 마스크 층은 기판(200)을 어닐링하기 전에 제거될 수 있다. 예를 들어, 일부 실시예들에서, 기판(200)은 진공하에서 마스크 제거 챔버로 이송될 수 있으며, 이러한 마스크 제거 챔버에서 마스크 층이 제거될 수 있다. 일부 실시예들에서, 마스크 층은 플라즈마 도핑 챔버에서 인시츄로 기판(200)으로부터 제거될 수 있거나, 또는 기판(200)을 다른 챔버로 이송하지 않으면서 식각 챔버(예를 들어, 캡 층(204)을 식각하는 데에 이용되는 식각 챔버)에서 제거될 수 있다. 일부 실시예들에서, 캡 층(204)은 도펀트 영역(202)이 가스를 방출하고 및/또는 대기와 반응하는 것을 막기 때문에, 기판(200)은 진공 하에서 유지되지 않으면서 마스크 제거 챔버로 이송될 수 있다.
일부 실시예들에서, 마스크 층은 포토레지스트의 층을 포함할 수 있다. 마스크 층은, 예를 들어 산소(O2), 삼불화질소(NF3), 사불화탄소 (CF4), 수소 (H2), 또는 질소(N2) 가스 중에서 하나 또는 둘 이상을 포함하는 플라즈마에 대한 노출에 의해 벗겨질 수 있다. 마스크 층에 대한 임의의 손상, 플라즈마 화학작용(plasma chemistry) 및 플라즈마 밀도에 의존하여, 마스크 층은 도핑 챔버(예를 들어, P3I 프로세스 챔버)와 유사한 챔버에서 제거될 수 있다. 예를 들어, 마스크 층 제거를 촉진시키기 위해 조정될 수 있는 프로세스 파라미터들은 RF 소스 전력(예를 들어, 플라즈마에 제공되는 RF 전력), 가스 유동, 챔버 압력 및 시간을 포함할 수 있다. 마스크 제거 챔버는 선택적인 마스크 제거 프로세스를 수행할 수 있는 임의의 챔버일 수 있다. 이러한 적합한 챔버들의 비제한적인 예들은, 어플라이드 머티어리얼스 인코포레이티드로부터 또한 입수가능한, 상기 언급한 P3I 프로세스 챔버 또는 액시엄 프로세스 챔버(Axiom process chamber)를 포함한다. 다른 제조업자들로부터 입수가능한 프로세스 챔버들을 포함하는 다른 프로세스 챔버들이 또한 이용될 수 있다.
다음으로, 108에서, 기판(200)은 도펀트 영역 내에 배치된 도펀트들을 활성화시키기 위해 어닐링된다. 하나 또는 둘 이상의 도펀트들이 도펀트 영역(202) 상에 증착되는 실시예들에서, 이러한 프로세스는 하나 또는 둘 이상의 도펀트들을 기판(200) 내로 드라이브(drive)시키는 것을 촉진시킬 수 있다. 기판은 도펀트 활성화 챔버에서 어닐링될 수 있다. 일부 실시예들에서, 기판은 진공하에서 도펀트 활성화 챔버로 이송될 수 있으며, 이러한 도펀트 활성화 챔버에서는, 기판 내에 주입된 또는 기판 상에 배치된 도펀트들을 활성화시키기 위해 기판이 어닐링될 수 있다. 일부 실시예들에서, 캡 층(204)은 도펀트 영역(202)이 가스를 방출하고 및/또는 대기와 반응하는 것을 막기 때문에, 기판(200)은 진공 하에서 유지되지 않으면서 도펀트 활성화 챔버로 이송될 수 있다.
일부 실시예들에서, 기판을 약 600 내지 약 1300℃, 또는 약 1000℃의 제 1 온도로 가열함으로써, 기판이 어닐링될 수 있다. 일부 실시예들에서는, 기판을 약 1초 내지 몇 시간의 제 1 시간 기간 동안 약 200 내지 약 800℃의 제 1 온도로 제 1 가열하는 것을 포함하는 2-단계 프로세스로, 기판이 어닐링될 수 있다. 이후, 기판은 제 2의 더 높은 온도로 가열될 수 있다. 제 2 온도는 약 800 내지 약 1300℃일 수 있으며, 약 1초 내지 약 몇 시간의 지속기간을 가질 수 있다. 어닐링 프로세스 동안, 기판은, 이를 테면 질소(N2), 아르곤(Ar), 헬륨(He) 등과 같은 비활성 가스, 수소(H2), 암모니아(NH3), 산소(O2), 또는 이들의 조합들에 노출될 수 있다. 만일 기판이 도핑을 위한 구역(area)들을 보호하기 위한 패터닝된 마스크를 갖지 않는 다면, 기판들은 도핑 프로세스 이후 도핑 챔버로부터 도펀트 활성화 챔버로 바로 이송될 수 있다. 본 발명자들은, 도펀트 손실 및 유전체 막 축적(build up)(예를 들어, 수분에 대한 노출로 인한, 산화붕소와 같은 유전체 막의 축적)은 인시츄 도펀트 활성화(예를 들어, 어닐)에 의해 그리고 기판을 대기에 노출시키지 않음으로써 감소될 수 있다는 것을 발견하였다. 도펀트 활성화 프로세스는 어닐과 같은 고온 프로세스일 수 있으며, 그리고 제한하는 것은 아니지만, 이를 테면 어플라이드 머티어리얼스 인코포레이티드로부터 입수가능한, RTP RADIANCE
Figure pct00001
프로세스 챔버 또는 CENTURA
Figure pct00002
플랫폼 내의 임의의 다른 어닐 툴과 같은 임의의 적합한 프로세스 챔버에서 수행될 수 있다.
다음으로, 110에서, 도 2c에 도시된 바와 같이, 캡 층(204)이 제거된다. 캡 층(204)은, 캡 층(204)의 두께를 감소시키기 위해, 106에서 상기 설명된 것과 같은 임의의 적합한 프로세스 챔버에서 제거될 수 있다. 캡 층(204)은 임의의 적합한 식각 프로세스를 이용하여 제거될 수 있다. 예를 들어, 실리콘 기반의 캡 층(204)은 삼불화질소(NF3)와 같은 불소 전구체를 이용하여 제거될 수 있다. 110에서 캡 층(204)의 제거시, 일반적으로 방법(100)이 끝나며, 제조를 완료하기 위해 요구되는 경우 기판은 프로세싱을 계속할 수 있다. 구조화된(structured) 웨이퍼들에 대한 투과 전자 현미경(transmission electron microscopy, TEM) 분석에 기초하여, 1) 주입된 비소 막 및 캡핑된(capped) 막은 상부 표면 상에서의 감소된 증착에 대해 컨포멀하고(예를 들어, 보다 컨포멀하고 균일한 막 프로파일들이 제공되었다), 그리고 2) 캡 층을 벗겨낸 후 구조에 대한 어떠한 손상도 존재하지 않는 다는 것이 입증되었다.
도 3을 참조하면, 상기 인용된 응용에서 개시된 타입의 트로이달(toroidal) 소스 플라즈마 액침 이온 주입("P3i") 리액터(300)는 원통형 측벽(304) 및 디스크 형상 천장(disk-shaped ceiling)(306)에 의해 정의되는 원통형 진공 챔버(302)를 갖는다. 챔버의 바닥에 있는 기판 지지체(308)는 프로세싱될 기판(310)을 지지한다. 천장(306) 상의 가스 분배 플레이트 또는 샤워헤드(312)는 가스 분배 패널(316)로부터 자신의 가스 매니폴드(manifold)(314)에서 프로세스 가스를 수신하며, 상기 가스 분배 패널의 가스 출력은 하나 또는 둘 이상의 개별적인 가스 공급부들(318)로부터의 가스들 중 임의의 하나 또는 이러한 가스들의 혼합물들이 될 수 있다. 기판 지지체(308)와 측벽(304) 사이에 정의되는 펌핑 고리(pumping annulus)(322)에 진공 펌프(320)가 커플링된다. 프로세싱 영역(324)은 기판(310)과 가스 분배 플레이트(312) 사이에 정의된다.
한 쌍의 외부의 오목한 도관들(reentrant conduits)(326, 328)은, 프로세싱 영역(324)을 통과하는 플라즈마 전류들에 대한 오목한 트로이달 경로들, 및 프로세싱 영역(324)에서 교차하는(intersecting) 트로이달 경로들을 설정한다. 도관들(326, 328) 각각은 챔버의 반대쪽 측면들에 커플링된 한 쌍의 단부들(330)을 갖는다. 각 도관(326, 328)은 속이 빈(hollow) 전도성 튜브이다. 각 도관(326, 328)은, 도관의 2개의 단부들 사이에서 폐쇄 루프 전도성 경로가 형성되는 것을 막는 D.C. 절연 링(332)을 갖는다.
각 도관(326, 328)의 고리 모양(annular) 부분은 고리 모양 자기 코어(334)에 의해 둘러싸인다. 코어(334)를 둘러싸는 여기 코일(excitation coil)(336)이 임피던스 정합 디바이스(340)를 통해 RF 전력 소스(338)에 커플링된다. 코어들(336)의 각각의 것들에 커플링된 2개의 RF 전력 소스들(338)은 2개의 약간 상이한 주파수들로 이루어질 수 있다. RF 전력 발생기들(338)로부터 커플링되는 RF 전력은, 각각의 도관(326, 328)을 통해 그리고 프로세싱 영역(324)을 통해 연장하는 폐쇄 토로이달 경로들에 플라즈마 이온 전류들을 생성한다. 이러한 이온 전류들은 각각의 RF 전력 소스(338)의 주파수에서 진동한다. 임피던스 정합 회로(344)를 통해 바이어스 전력 발생기(342)에 의해 및/또는 DC 전력 소스(350)에 의해 기판 지지체(308)에 바이어스 전력이 인가된다.
가스 분배 플레이트(312)를 통해 챔버(324) 내로 프로세스 가스 또는 프로세스 가스들의 혼합물을 도입시키고, 발생기들(338)로부터의 충분한 소스 전력을 오목한 도관들(326, 328)에 인가하여, 도관들 내에 그리고 프로세싱 영역(324) 내에 트로이달 플라즈마 전류들을 생성함으로써, 플라즈마 형성이 수행된다.
기판 표면 근방의 플라즈마 플럭스는 RF 바이어스 전력 발생기(342)에 의해 인가되는 기판 바이어스 전압에 의해 결정된다. 플라즈마 레이트 또는 플럭스(초당 평방 센티미터 마다 기판 표면을 샘플링하는 이온들의 수)는 플라즈마 밀도에 의해 결정되며, 이러한 플라즈마 밀도는 RF 소스 전력 발생기들(338)에 의해 인가되는 RF 전력의 레벨에 의해 제어된다. 기판(310)에서의 누적 이온 도즈량(이온들/평방 센티미터)은 플럭스와 이러한 플럭스가 유지되는 총 시간 모두에 의해 결정된다.
기판 지지체(308)가 정전 척이라면, 기판 지지체의 절연 플레이트(348) 내에 매립 전극(buried electrode)(346)이 제공되며, 그리고 이러한 매립 전극(346)은 임피던스 정합 회로(344)를 통해 바이어스 전력 발생기(342)에 커플링되거나, 또는 DC 전력 소스(350)에 커플링된다.
동작에 있어서, 그리고 예를 들어, 기판(310)은 기판 지지체(308) 상에 배치될 수 있으며, 그리고 하나 또는 둘 이상의 프로세스 가스들이 챔버(302)에 도입되어, 이러한 프로세스 가스들로부터 플라즈마를 점화(strike)시킬 수 있다.
동작에 있어서, 상기 논의된 바와 같이 기판(310)의 표면들을 선택적으로 변경하기 위해 리액터(300) 내에서 프로세스 가스들로부터 플라즈마가 생성될 수 있다. 상기 설명된 프로세스에 따라, 발생기들(338)로부터의 충분한 소스 전력을 오목한 도관들(326, 328)에 인가하여, 도관들(326, 328) 내에서 그리고 프로세싱 영역(324) 내에서 플라즈마 이온 전류들을 생성함으로써, 프로세싱 영역(324) 내에서 플라즈마가 형성된다. 일부 실시예들에서, RF 바이어스 전력 발생기(342)에 의해 전달되는 기판 바이어스 전압은, 기판 표면에 대한 이온들의 플럭스, 및 가능하게는, 기판 상에 형성되는 층의 두께 또는 기판 표면에 내장되는(embedded) 플라즈마 종(species)의 농도 중에서 하나 또는 둘 이상을 제어하도록 조정될 수 있다.
도 4는 본 발명의 일부분들을 수행하는 데에 적합한 클러스터 툴을 도시한다. 일반적으로, 클러스터 툴은, 기판 센터파인딩(center-finding) 및 방위(orientation), 캡핑, 어닐링, 증착 및/또는 식각을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈 시스템(modular system)이다. 본 발명의 실시예들에 따르면, 클러스터 툴은, 본 발명의 방법(100)에서 설명된 본 발명의 단계들을 수행하도록 구성된, 어닐링 프로세스 챔버, 캡핑 프로세스 챔버, 식각 프로세스 챔버, 및 층 증착 프로세스 챔버를 포함할 수 있다. 클러스터 툴의 다수의 프로세스 챔버들은, 이러한 챔버들 사이에 기판들을 왕복시키도록(shuttle) 적응된 로봇을 하우징하는 중앙 이송 챔버에 장착된다. 이송 챔버는 전형적으로 진공 상태로 유지되며, 그리고 기판들을 하나의 챔버로부터 다른 챔버로 및/또는 클러스터 툴의 프론트 엔드(front end)에 위치되는 로드 록 챔버로 왕복시키기 위한 중간 스테이지(intermediate stage)를 제공한다. 이러한 하나의 스테이지형-진공(staged-vacuum) 기판 프로세싱 시스템의 상세한 사항들은, "Staged-Vacuum Substrate Processing System and Method"란 명칭으로 1993년 2월 16일자로 허여된 Tepman 등의 미국 특허 번호 제 5,186,718 호에 개시되어 있다. 하지만, 챔버들의 정확한 배열 및 조합은, 도펀트 손실을 제한하고 강화된 도펀트 확산을 달성하기 위한 본 발명의 컨포멀한 도핑 방법들을 포함하는 제조 프로세스의 특정 단계들을 수행하기 위한 목적들로 변경될 수 있다.
예시를 위해, 특정 클러스터 툴(480)이 도 4에서 평면도로 도시되어 있다. 클러스터 툴(480)은 일반적으로 복수의 챔버들 및 로봇들을 포함하며, 그리고 바람직하게는, 클러스터 툴(480) 내에서 수행되는 다양한 프로세싱 방법들을 실시하도록 프로그램된 마이크로프로세서 제어기(440)를 갖추고 있다. 프론트-엔드 환경(front-end environment)(483)이 로드 록 챔버들(484)의 쌍과 선택적으로 소통하면서 위치되는 것으로 도시되어 있다. 프론트-엔드 환경(483) 내에 배치된 포드 로더(pod loader)(485)는, 프론트-엔드 환경(483) 상에 장착되는 복수의 포드들(487)과 로드 록들(484) 사이에서 기판들의 카세트들을 왕복하게 하기 위한 선형 및 회전 운동들(화살표들(482))이 가능하다. 로드 록들(484)은 프론트-엔드 환경(483)과 이송 챔버(488) 사이에 제 1 진공 인터페이스를 제공한다. 이송 챔버(488) 및 프론트-엔드 환경(483)과 대체가능하게(alternatively) 소통함으로써 처리량을 증가시키기 위해, 2개의 로드 록들(484)이 제공된다. 따라서, 하나의 로드 록(484)은 이송 챔버(488)와 소통하는 동안, 제 2 로드 록(484)은 프론트-엔드 환경(483)과 소통한다. 로드 록들(484)로부터 다양한 프로세싱 챔버들(490)과 서비스 챔버들(491) 중 하나에 기판들을 이송하기 위해, 로봇(489)이 이송 챔버(488) 내에 중심에 배치된다. 프로세싱 챔버들(490)은 물리 기상 증착, 화학 기상 증착 및 식각과 같은 임의의 수의 프로세스들을 수행할 수 있는 한편, 서비스 챔버들(491)은 가스 방출(degassing), 방위, 냉각(cooldown) 등을 위해 적응된다.
본 발명의 실시예들에서, 프로세싱 챔버들(490) 중 적어도 하나는, 도 3에 도시된 챔버(300)와 같은 플라즈마 도핑 프로세스 챔버, 또는 어플라이드 머티어리얼스 인코포레이티드로부터 입수가능한 P3i 챔버로서 구성되며, 그리고 프로세싱 챔버들(490) 중 적어도 하나는 어닐링 프로세스 챔버(490)로서 구성된다. 일부 실시예들에서, 플라즈마 도핑 챔버는 기판(200) 상에 도펀트 영역(202)을 형성하고, 도펀트 영역(202) 상에 캡 층(204)을 형성하고, 캡 층(204)을 제거하는 데에 이용될 수 있다. 도펀트 활성화 챔버는, 캡 층(204)이 형성된 후 도펀트 영역(202)을 어닐링하는 데에 이용될 수 있다. 클러스터 툴(480) 상에 챔버들 양자 모두를 포함시키게 되면, 기판(200)이 오염이 없도록(free) 유지될 수 있게 허용하고, 도펀트 손실을 막기 위해 기판이 대기에 노출되는 것을 막는다.
일부 실시예들에서, 제 1 프로세스 챔버(490a)(예를 들어, 플라즈마 도핑 챔버)는 기판(200) 상에 도펀트 영역(202)을 형성하는 데에 이용되고, 제 2 프로세스 챔버(490b)(예를 들어, 증착 챔버)는 도펀트 영역(202) 상에 캡 층(204)을 증착하는 데에 이용되고, 제 3 프로세스 챔버(490c)(예를 들어, 도펀트 활성화 챔버)는 캡 층(204)이 형성된 후 도펀트 영역(202)을 어닐링하는 데에 이용되며, 그리고 제 4 프로세스 챔버(490d)(예를 들어, 식각 챔버)는 도펀트 영역(202)이 어닐링된 후 캡 층(204)을 제거하는 데에 이용된다. 대안적으로, 식각 챔버 및/또는 도펀트 활성화 챔버 중 적어도 하나는 클러스터 툴(480)로부터 원격에 배치될 수 있다.
도펀트 영역(202)을 어닐링하기 전에 캡 층(204)의 두께가 감소되는 실시예들에서, 캡 층(204)의 식각은 플라즈마 도핑 챔버에서 또는 클러스터 툴(480)에 커플링된 개별적인 식각 챔버에서 수행될 수 있다.
일부 실시예들에서, 기판(200) 상에 도펀트 영역(202)을 형성하는 것 및 도펀트 영역(202) 상에 캡 층(204)을 형성하는 것은 클러스터 툴(480)에서 일어날 수 있는 한편, 도펀트 영역(202)을 어닐링하기 전에 캡 층의 두께를 감소시키는 것, 캡 층(204)이 형성된 후 도펀트 영역(202)을 어닐링하는 것 및 캡 층(204)을 제거하는 것은 클러스터 툴로부터 원격에 배치되는 하나 또는 둘 이상의 개별적인 프로세스 챔버들에서 일어날 수 있다.
제어기(440)는 일반적으로 중앙처리장치(CPU)(442), 메모리(444) 및 지원 회로(446)들을 포함하며, 그리고 클러스터 툴(480) 및 지원 시스템들(430)에 커플링되어, (도 4에 도시된 바와 같이) 직접적으로, 또는 대안적으로는, 프로세스 챔버와 연관된 컴퓨터들(또는 제어기들) 및/또는 지원 시스템들을 통해, 클러스터 툴(480) 및 지원 시스템들(430)을 제어한다.
제어기(440)는 본 발명의 실시예들에 따라 상기 설명된 방법들을 수행하기 위한 명령이 그 위에 저장되어 있는 컴퓨터 판독가능한 매체를 포함할 수 있다. 컴퓨터 판독가능한 매체가 제어기에 의해 판독될 때, 제어기(440)는 본 발명의 방법들을 수행하기 위한 명령들을 프로세스 챔버들(490)에 직접적으로, 또는 대안적으로는, 프로세스 챔버들(490)과 연관된 컴퓨터들(또는 제어기들) 및/또는 이들의 지원 시스템들을 통해 발행(issue)한다. 대안적으로, 본 발명의 방법들을 수행하기 위한 컴퓨터 판독가능한 매체는 프로세스 챔버들(490)과 연관된 제어기들 상에 포함될 수 있다.
이와 같이, 본원에서는 도펀트 손실을 제한하고 강화된 도펀트 확산을 달성하기 위한 방법들이 제공된다. 상술한 것은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 및 추가 실시예들이 본 발명의 기본 범위를 벗어나지 않으면서 안출될 수 있다.

Claims (15)

  1. 기판을 도핑하는 방법으로서,
    플라즈마 도핑 프로세스를 이용하여 기판의 도펀트 영역 내로 하나 또는 둘 이상의 도펀트 원소(dopant element)들을 주입함으로써, 상기 기판 상에 상기 도펀트 영역을 형성하는 단계;
    상기 도펀트 영역 상에 캡 층(cap layer)을 형성하는 단계;
    상기 캡 층을 형성한 후, 상기 도펀트 영역을 어닐링하는 단계; 및
    상기 도펀트 영역을 어닐링한 후, 상기 캡 층을 제거하는 단계를 포함하는,
    기판을 도핑하는 방법.
  2. 제 1 항에 있어서,
    상기 기판 상에 상기 도펀트 영역을 형성하는 단계는,
    도펀트 원소를 함유하는 비소(arsenic) 또는 도펀트 원소를 함유하는 인(phosphorus) 중에서 적어도 하나를 상기 기판의 상기 도펀트 영역 내로 주입하는 단계를 더 포함하는,
    기판을 도핑하는 방법.
  3. 제 1 항에 있어서,
    상기 기판 상에 상기 도펀트 영역을 형성하는 단계는,
    삼불화붕소(BF3), 디보란(diborane, B2H6), 메탄(CH4), 사불화탄소(CF4), 삼불화인(PF3), 디-아세닉 플루오라이드(As2F5), 안티몬(Sb), 또는 인듐(In) 중에서 적어도 하나를 상기 기판의 상기 도펀트 영역 내로 주입하는 단계를 더 포함하는,
    기판을 도핑하는 방법.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 기판 상에 상기 도펀트 영역을 형성하는 단계는,
    상기 도펀트 영역을 형성하는 동안, 상기 기판에 기판 바이어스 전압을 인가하는 단계를 더 포함하는,
    기판을 도핑하는 방법.
  5. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 플라즈마 도핑 프로세스 동안, 제로 전압(zero voltage) 전력이 상기 기판에 인가되는,
    기판을 도핑하는 방법.
  6. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 캡 층을 형성하는 단계는,
    상기 도펀트 영역 상에 실리콘-함유 재료를 증착하는 단계를 더 포함하는,
    기판을 도핑하는 방법.
  7. 제 6 항에 있어서,
    상기 실리콘-함유 재료는 실리콘 이산화물(SiO2) 또는 실리콘 카바이드(SiC) 중에서 적어도 하나를 포함하는,
    기판을 도핑하는 방법.
  8. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 캡 층은 약 100Å까지의 두께로 증착되는,
    기판을 도핑하는 방법.
  9. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 도펀트 영역을 약 600℃ 내지 약 1300℃의 온도에서 어닐링하는 단계를 더 포함하는,
    기판을 도핑하는 방법.
  10. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 도펀트 영역을 형성하는 단계 및 상기 도펀트 영역 상에 상기 캡 층을 형성하는 단계는, 상기 기판을 대기(atmosphere)에 노출시키지 않으면서 수행되는,
    기판을 도핑하는 방법.
  11. 제 10 항에 있어서,
    상기 도펀트 영역을 형성하는 단계 및 상기 도펀트 영역 상에 상기 캡 층을 형성하는 단계는, 동일한 프로세스 챔버에서 수행되는,
    기판을 도핑하는 방법.
  12. 제 10 항에 있어서,
    상기 캡 층이 상기 도펀트 영역 상에 형성된 이후에만, 상기 기판을 상기 대기에 노출시키는 단계를 더 포함하는,
    기판을 도핑하는 방법.
  13. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 기판 상에 상기 도펀트 영역을 형성하기 위해 그리고 상기 도펀트 영역 상에 상기 캡 층을 형성하기 위해, 클러스터 툴의 제 1 프로세스 챔버를 이용하는 단계;
    상기 캡 층을 형성한 후 상기 도펀트 영역을 어닐링하기 위해, 상기 클러스터 툴 상의 제 2 프로세스 챔버 또는 원격 프로세스 챔버를 이용하는 단계; 및
    상기 도펀트 영역을 어닐링한 후 상기 캡 층을 제거하기 위해, 상기 제 1 프로세스 챔버를 이용하는 단계를 더 포함하는,
    기판을 도핑하는 방법.
  14. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 기판 상에 상기 도펀트 영역을 형성하기 위해, 클러스터 툴의 제 1 프로세스 챔버를 이용하는 단계;
    상기 도펀트 영역 상에 상기 캡 층을 형성하기 위해, 상기 클러스터 툴 상의 제 2 프로세스 챔버를 이용하는 단계;
    상기 캡 층을 형성한 후 상기 도펀트 영역을 어닐링하기 위해, 상기 클러스터 툴 상의 제 3 프로세스 챔버 또는 원격 프로세스 챔버를 이용하는 단계; 및
    상기 도펀트 영역 상의 상기 캡 층을 제거하기 위해, 상기 클러스터 툴의 제 4 프로세스 챔버를 이용하는 단계를 더 포함하는,
    기판을 도핑하는 방법.
  15. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 도펀트 영역을 어닐링하기 전에, 상기 캡 층의 두께를 감소시키는 단계를 더 포함하는,
    기판을 도핑하는 방법.
KR1020137026918A 2011-03-14 2012-03-05 컨포멀한 도핑을 위한 방법들 및 장치 KR20140012727A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161452497P 2011-03-14 2011-03-14
US61/452,497 2011-03-14
US13/188,824 US8501605B2 (en) 2011-03-14 2011-07-22 Methods and apparatus for conformal doping
US13/188,824 2011-07-22
PCT/US2012/027659 WO2012125317A2 (en) 2011-03-14 2012-03-05 Methods and apparatus for conformal doping

Publications (1)

Publication Number Publication Date
KR20140012727A true KR20140012727A (ko) 2014-02-03

Family

ID=46828801

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137026918A KR20140012727A (ko) 2011-03-14 2012-03-05 컨포멀한 도핑을 위한 방법들 및 장치

Country Status (4)

Country Link
US (1) US8501605B2 (ko)
KR (1) KR20140012727A (ko)
TW (1) TWI621157B (ko)
WO (1) WO2012125317A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170124470A (ko) * 2016-05-02 2017-11-10 에이에스엠 아이피 홀딩 비.브이. 콘포말한 고상 도핑을 통한 향상된 소스/드레인 성능
KR20190069589A (ko) * 2016-11-08 2019-06-19 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 작업물 상에 도펀트 종을 증착하는 방법, 작업물 내에 도펀트 종을 주입하는 방법, 및 작업물을 프로세싱하는 방법

Families Citing this family (297)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI549163B (zh) * 2011-09-20 2016-09-11 應用材料股份有限公司 減少摻質擴散之表面穩定化製程
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9196515B2 (en) 2012-03-26 2015-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Litho cluster and modulization to enhance productivity
US8903532B2 (en) * 2012-03-26 2014-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Litho cluster and modulization to enhance productivity
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
FR3008227B1 (fr) * 2013-07-03 2015-07-17 Commissariat Energie Atomique Procede d’activation electrique d’especes dopantes dans un film de gan
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9379185B2 (en) 2014-04-24 2016-06-28 International Business Machines Corporation Method of forming channel region dopant control in fin field effect transistor
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9640400B1 (en) * 2015-10-15 2017-05-02 Applied Materials, Inc. Conformal doping in 3D si structure using conformal dopant deposition
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US20170170018A1 (en) * 2015-12-14 2017-06-15 Lam Research Corporation Conformal doping using dopant gas on hydrogen plasma treated surface
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US9911660B2 (en) 2016-04-26 2018-03-06 Lam Research Corporation Methods for forming germanium and silicon germanium nanowire devices
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276384B2 (en) * 2017-01-30 2019-04-30 International Business Machines Corporation Plasma shallow doping and wet removal of depth control cap
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
JP7288432B2 (ja) * 2017-09-03 2023-06-07 アプライド マテリアルズ インコーポレイテッド 共形ドーパント膜堆積を使用した、3d構造体の共形ハロゲンドーピング
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100286919B1 (ko) * 1998-03-04 2001-04-16 가네꼬 히사시 얕은 접합부를 갖는 반도체 장치의 제조 방법
JP2002522899A (ja) * 1998-08-03 2002-07-23 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド プラズマ浸漬イオン注入ドーピング装置用のドーズ量モニター
KR20090100421A (ko) * 2006-12-18 2009-09-23 어플라이드 머티어리얼스, 인코포레이티드 낮은 에너지를 가지며 많은 양의 비소, 인, 및 붕소 주입된 웨이퍼의 안전한 핸들링
WO2010018797A1 (ja) * 2008-08-15 2010-02-18 株式会社アルバック プラズマドーピング方法及び半導体装置の製造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6900091B2 (en) * 2002-08-14 2005-05-31 Advanced Analogic Technologies, Inc. Isolated complementary MOS devices in epi-less substrate
US20050048731A1 (en) * 2003-09-02 2005-03-03 Patton Jeffrey P. Siliciding spacer in integrated circuit technology
US20050059260A1 (en) 2003-09-15 2005-03-17 Haowen Bu CMOS transistors and methods of forming same
US7029966B2 (en) * 2003-09-18 2006-04-18 International Business Machines Corporation Process options of forming silicided metal gates for advanced CMOS devices
EP1881523B1 (en) * 2005-05-12 2013-01-02 Panasonic Corporation Plasma doping method and plasma doping apparatus
US7737010B2 (en) * 2006-04-14 2010-06-15 Micron Technology, Inc. Method of photoresist strip for plasma doping process of semiconductor manufacturing
US7989329B2 (en) * 2007-12-21 2011-08-02 Applied Materials, Inc. Removal of surface dopants from a substrate
US8202792B2 (en) * 2009-04-24 2012-06-19 Varian Semiconductor Equipment Associates, Inc. Method of processing a substrate having a non-planar surface
US8187928B2 (en) * 2010-09-21 2012-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuits

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100286919B1 (ko) * 1998-03-04 2001-04-16 가네꼬 히사시 얕은 접합부를 갖는 반도체 장치의 제조 방법
JP2002522899A (ja) * 1998-08-03 2002-07-23 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド プラズマ浸漬イオン注入ドーピング装置用のドーズ量モニター
KR20090100421A (ko) * 2006-12-18 2009-09-23 어플라이드 머티어리얼스, 인코포레이티드 낮은 에너지를 가지며 많은 양의 비소, 인, 및 붕소 주입된 웨이퍼의 안전한 핸들링
WO2010018797A1 (ja) * 2008-08-15 2010-02-18 株式会社アルバック プラズマドーピング方法及び半導体装置の製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170124470A (ko) * 2016-05-02 2017-11-10 에이에스엠 아이피 홀딩 비.브이. 콘포말한 고상 도핑을 통한 향상된 소스/드레인 성능
KR20190069589A (ko) * 2016-11-08 2019-06-19 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 작업물 상에 도펀트 종을 증착하는 방법, 작업물 내에 도펀트 종을 주입하는 방법, 및 작업물을 프로세싱하는 방법

Also Published As

Publication number Publication date
WO2012125317A9 (en) 2013-04-04
US8501605B2 (en) 2013-08-06
TWI621157B (zh) 2018-04-11
US20120238074A1 (en) 2012-09-20
WO2012125317A3 (en) 2012-12-06
TW201239954A (en) 2012-10-01
WO2012125317A2 (en) 2012-09-20

Similar Documents

Publication Publication Date Title
US8501605B2 (en) Methods and apparatus for conformal doping
TWI745390B (zh) 減少晶圓釋氣的整合方法
US7989329B2 (en) Removal of surface dopants from a substrate
US20120088356A1 (en) Integrated platform for in-situ doping and activation of substrates
US7858503B2 (en) Ion implanted substrate having capping layer and method
KR20180085807A (ko) 세정 방법
US10043890B2 (en) Method of forming spacers for a gate of a transistor
US8598025B2 (en) Doping of planar or three-dimensional structures at elevated temperatures
KR20090085705A (ko) 플라즈마 이머징된 이온 주입 프로세스
TWI524391B (zh) 改善p3i腔室中共形摻雜之方法
US8288257B2 (en) Doping profile modification in P3I process
US20130023112A1 (en) Methods for post dopant implant purge treatment
US9337314B2 (en) Technique for selectively processing three dimensional device
US20120302048A1 (en) Pre or post-implant plasma treatment for plasma immersed ion implantation process

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application