TW201639008A - 控制硼摻質之固相擴散以形成超淺摻雜區域的方法 - Google Patents

控制硼摻質之固相擴散以形成超淺摻雜區域的方法 Download PDF

Info

Publication number
TW201639008A
TW201639008A TW105106230A TW105106230A TW201639008A TW 201639008 A TW201639008 A TW 201639008A TW 105106230 A TW105106230 A TW 105106230A TW 105106230 A TW105106230 A TW 105106230A TW 201639008 A TW201639008 A TW 201639008A
Authority
TW
Taiwan
Prior art keywords
boron
layer
substrate
ultra
shallow
Prior art date
Application number
TW105106230A
Other languages
English (en)
Other versions
TWI631603B (zh
Inventor
史帝芬 P 康席格理歐
羅伯特 D 克拉克
大衛 L 歐米拉
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201639008A publication Critical patent/TW201639008A/zh
Application granted granted Critical
Publication of TWI631603B publication Critical patent/TWI631603B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2255Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides
    • H01L21/2256Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides through the applied layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)

Abstract

提供一種在半導體裝置中形成超淺硼摻雜區域的方法。該方法包含:在基板上沉積擴散過濾層,該擴散過濾層包含氮化硼層、氮氧化硼層、氮化矽層、或氮氧化矽層;以及在該擴散過濾層上沉積硼摻質層,該硼摻質層含有氧化硼、氮氧化硼、或其組合,且需以擴散過濾層及硼摻質層不包含同一材料為條件。該方法更包含對該基板進行熱處理,從而藉由硼從該硼摻質層透過該擴散過濾層並進入該基板的受控擴散在該基板中形成該超淺硼摻雜區域。

Description

控制硼摻質之固相擴散以形成超淺摻雜區域的方法
本發明概括地相關於半導體裝置及形成該半導體裝置的方法,且更特別地相關於藉由硼從硼摻質層透過擴散過濾層進入基板之固相擴散形成超淺硼摻雜區域。
半導體產業的特徵係在給定半導體晶片上趨向於製造更大且更複雜的電路。更大且更複雜的電路係藉由減小電路中各個裝置的尺寸、並且使裝置更靠在一起而達成。當使例如金屬氧化物半導體(MOS, metal oxide semiconductor)或雙極電晶體之裝置內各個元件的尺寸減小,且使裝置元件更接近一起時,可獲得改善的電學性能。然而,必需對基板中摻雜區域的形成給予注意,以確保不會出現不利的電場條件。
當裝置元件 (例如MOS裝置中的電晶體閘極、及雙極裝置中的射極區)的尺寸被減小時,形成於半導體基板中之摻雜區域的接面深度也必定被降低。具有均勻摻雜輪廓及高表面濃度之淺接面(shallow junctions)的形成已證實係非常困難的。通用的技術係利用離子植入設備將摻質原子植入基板。使用離子植入的情形中,高能摻質原子以高速撞擊基板的表面,並被牽引至基板中。儘管此方法已證實對具有中等深度接面之摻雜區域的形成有效,但使用離子植入形成超淺接面係極為困難的。在形成淺植入接面所需的低能量下,基板內受激發摻質原子的路徑、及植入的均勻性都係難以控制的。受激發摻質原子的植入破壞了基板中的晶格,這係難以恢復的。由晶格受損所導致的差排可輕易地刺穿淺接面,從而產生跨接面的電流洩露。再者,例如硼之P型摻質(其在矽中快速擴散)的植入在其被導入基板之後,導致摻質原子的過度分散。於是在基板中之特定區域中、以及尤其在基板的表面處形成高度受限集中的P型摻質原子變得困難。
此外,對於電晶體及記憶體裝置,正在實施使用摻雜三維結構的新型裝置結構。如此裝置的範例包括但不限於鰭式FET(Fin FET)、三閘極FET、凹通道電晶體(RCAT, recessed channel transistor)、及嵌入式動態隨機存取記憶體(EDRAM)凹槽。為了均勻地對該等結構進行摻雜,期望具有保形的摻雜方法。離子植入製程係直線性有效,且因此需要特殊的基板定向來均勻地對鰭式及凹槽結構進行摻雜。此外,在高裝置密度的情形中,藉由離子植入技術,遮蔽效應使得鰭式結構的均勻摻雜極為困難,或甚至不可能。習知的電漿摻雜及原子層摻雜係對三維半導體結構已展現出保形摻雜的技術,但該電漿摻雜及原子層摻雜其中每一者被限制在理想條件下可獲取之摻質密度及深度的範圍中。
該發明的實施例提供形成超淺摻雜區域的方法,該方法克服了該等問題的若干者。
本發明描述藉由從硼摻質層進入基板之受控固相擴散形成超淺硼摻雜區域的複數實施例。摻雜區域可形成於平面基板中、基板上的上凸特徴部中、或基板中的下凹特徴部中。在一範例中,原子層沉積(ALD)方法可用於保形的摻雜製程,該保形的摻雜製程對於如Fin FET及三閘極FET(TriGates)之3D結構的摻雜而言,係高度期望的。
根據一實施例,提供在半導體裝置中形成超淺硼摻雜區域的方法。該方法包含:在基板上沉積擴散過濾層,該擴散過濾層包含氮化硼層、氮氧化硼層、氮化矽層、或氮氧化矽層;以及在擴散過濾層上沉積硼摻質層,其中該硼摻質層含有氧化硼、氮氧化硼、或其組合,且需以擴散過濾層及硼摻質層不包含同一材料為條件。該方法更包含對該基板進行熱處理,從而藉由硼從硼摻質層透過擴散過濾層並進入基板之受控擴散在該基板中形成超淺硼摻雜區域。
根據一些實施例,提供在基板中上凸特徴部中或下凹特徴部中形成超淺硼摻雜區域的方法。
本發明在各種實施例中揭露藉由從硼摻質層透過擴散過濾層進入基板層之固相擴散,在半導體裝置中形成超淺硼摻雜區域的方法。硼摻雜區域可包含例如平面電晶體、Fin FET、或三閘極FET的超淺源極-汲極擴展。形成超淺硼摻雜區域的其他應用可包括替代性閘極處理流程中的通道摻雜,以及用於Fin FET、或用於極薄的矽絕緣體(ET-SOI, extremely thin silicon on insulator)裝置。具有極薄交替半導體通道的裝置亦可使用所揭露方法進行摻雜,例如鍺絕緣體(GeOI, germanium on insulator)裝置、或Ge Fin FET、及III-V族通道裝置(如GaAs、InGaAs、或InGaSb Fin FET)。此外,形成於非晶Si或多晶Si層中的裝置(例如,EDRAM裝置)可利用所揭露方法調整Si的摻雜位準。
熟悉相關技術領域者將察知,各種實施例可在沒有該具體細節其中一或更多者的情況下,利用其他替換及/或額外方法、材料、或元件而實施。在其他例子中,已熟知的結構、材料、或操作並未詳細地顯示或描述,以避免模糊該發明之各種實施例的實施態樣。類似地,為達解釋之目的,提出具體數字、材料、及配置,以提供對該發明的透徹理解。再者,應理解圖示中顯示的各種實施例係例示性代表,且不必依比例繪製。
在整個說明書中提及之「一個實施例」或「一實施例」意指相關該實施例而描述的特定特徵部、結構、材料、或特性係包含於本發明之至少一實施例中,但並不表示其存在於每一實施例中。因此,整個說明書各個地方出現之詞組「在一實施例中」並非必然是指該發明的同一實施例。
先前關於藉由固相擴散之硼摻雜的成果包含使用B2 O3 摻質層作為硼摻質的來源,其中B2 O3 摻質層係與基板直接接觸,且硼原子在熱處理期間從B2 O3 摻質層直接地擴散至基板中。基板中所產生之硼摻雜區域的厚度、硼含量、及硼深度輪廓係B2 O3 摻質層之厚度及熱處理條件(包含基板溫度、以及熱處理的時間段)的函數。然而,需要新的方法來更好地控制硼摻雜區域的性質。
本發明的實施例提供控制硼摻雜區域之性質 (包含接面深度)的新穎方法。根據一實施例,含有氮化硼(BN)之惰性的且熱穩定的擴散過濾層係定位於基板及硼摻質層之間。根據其他實施例,擴散過濾層可含有氮氧化硼層、氮化矽層、或氮氧化矽層。擴散過濾層可充當阻隔層,以阻礙硼擴散,並控制硼摻雜區域的性質(包含厚度、硼成分、及硼深度輪廓)。在一範例中,擴散過濾層可用來達成硼摻雜區域中小於硼飽和的濃度。舉例而言,擴散過濾層的厚度可用來控制硼摻雜區域的性質。在一範例中,擴散過濾層可包含藉由原子層沉積(ALD)而沉積的BN層,並且B2 O3 摻質層亦可藉由ALD而沉積。ALD的使用提供一種硼摻雜半導體裝置的製造方法,該製造方法對BN層的厚度、硼摻質層、及硼摻雜區域的性質具有精確的控制。
根據一實施例,提供在半導體裝置中形成超淺硼摻雜區域的方法。該方法包含:在基板上沉積擴散過濾層,該擴散過濾層包含氮化硼層、氮氧化硼層、氮化矽層、或氮氧化矽層;以及在該擴散過濾層上沉積硼摻質層,其中該硼摻質層含有氧化硼、氮氧化硼、或其組合,且需以該擴散過濾層及該硼摻質層不包含同一材料為條件。該方法更包含對該基板進行熱處理,從而藉由硼從該硼摻質層透過該擴散過濾層並進入該基板之受控擴散在該基板中形成該超淺硼摻雜區域。
使用純B2 O3 摻質層的一顯著缺點是B2 O3 極大的空氣不穩定性。這是由於其與大氣環境中的濕氣有高度反應性,這導致形成具有高氣壓的硼酸。發明人已意識到可在B2 O3 摻質層上形成覆蓋層,以將B2 O3 摻質層保護於濕氣之外。根據一實施例,覆蓋層可含有BN,或者由BN組成。覆蓋層的使用容許在非叢集熱處理系統中對含有B2 O3 摻質層的基板進行熱處理。
根據一實施例,硼摻質層可含有氮氧化硼(BNO)。在一些範例中,氮氧化硼的組成可在以下範圍中:B及O作為主要元素的氮摻雜氧化硼至B及N作為主要元素的氧摻雜氮化硼。在BNO中增加氮含量、以及減少氧含量容許進一步控制硼摻雜區域的性質,因為氮的存在使BNO相對於B2 O3 的熱穩定性增加。在一實施例中,可在BNO層上形成覆蓋層,以將BNO層保護於濕氣之外。
圖1A-1C根據該發明一實施例,顯示在基板中形成超淺摻雜區域之處理流程的示意性橫剖面圖。圖1A顯示基板100、基板100上擴散過濾層102、及擴散過濾層102上硼摻質層104的示意性橫剖面圖。基板100可為任何尺寸,例如200mm基板、300mm基板、或甚至更大的基板。根據一實施例,基板100可含有Si,如晶體Si、多晶Si、或非晶Si。在一範例中,基板100可為拉伸應變Si層。根據另一實施例,基板100可含有Ge、或Six Ge1-x 化合物,其中x為Si的原子分數、1-x為Ge的原子分數、且0 < x < 1。例示性Six Ge1-x 化合物包含Si0.1 Ge0.9 、Si0.2 Ge0.8 、Si0.3 Ge0.7 、Si0.4 Ge0.6 、Si0.5 Ge0.5 、Si0.6 Ge0.4 、Si0.7 Ge0.3 、Si0.8 Ge0.2 、及 Si0.9 Ge0.1 。在一範例中,基板100可為沉積在鬆弛Si0.5 Ge0.5 緩衝層上的壓縮應變Ge層或拉伸應變Six Ge1-x (x>0.5)。根據一些實施例,基板100可包含矽絕緣體(SOI)。在一些實施例中,基板100可含有化合物半導體,例如GaAs。
擴散過濾層102及硼摻質層104可藉由原子層沉積(ALD)而沉積。在一範例中,B2 O3 摻質層104可透過以下步驟藉由ALD而沉積:a)在用以執行ALD製程的製程腔室中提供基板;b)使基板曝露於氣相的硼胺化物、或有機硼烷前驅物;c)沖洗/抽空製程腔室;d)使基板曝露於含有H2 O、O2 、或O3 、其組合的反應物氣體;e) 沖洗/抽空製程腔室;以及f) 重複步驟b)-e)任何次數,直到B2 O3 摻質層具有期望的厚度。在另一範例中,BNO摻質層104或BNO擴散過濾層102可在步驟d)中使用含有以下者的反應物氣體藉由ALD而沉積:1)  H2 O、O2 、或O3 、及NH3 ;或者2) NO、NO2 、或N2 O、以及可選H2 O、O2 、O3 、及NH3 其中一或更多者。在一範例中,BN擴散過濾層102可在步驟d)中使用含有NH3 的反應物氣體藉由ALD而沉積。
根據該發明一實施例,硼胺化物可包含具有Ln B(NR1 R2 )3 形式的硼化合物,其中L為中性路易斯鹼(Lewis base)、n為0或1、且R1 及R2 的每一者可選自烷基、芳香基、氟烷基(fluoroalkyl)、氟芳香基(fluoroaryl)、烷氧烷基(alkoxyalkyl)、及胺烷基(aminoalkyl)。硼胺化物的範例包含B(NMe2 )3 、(Me3 )B(NMe2 )3 、B[N(CF3 )2 ]3 。根據該發明一實施例,有機硼烷可包含具有Ln BR1 R2 R3 形式的硼化合物,其中L為中性路易斯鹼(Lewis base)、n為0或1、且R1 、R2 、及R3 的每一者可選自烷基、芳香基、氟烷基(fluoroalkyl)、氟芳香基(fluoroaryl)、烷氧烷基(alkoxyalkyl)、及胺烷基(aminoalkyl)。硼胺化物的範例包含BMe3 、(Me3 N)BMe3 、B(CF3 )3 、及(Me3 N)B(C6 F3 )。
根據一些實施例,含有氮化矽層或氮氧化矽層的擴散過濾層102可使用熟悉該技術領域者所熟知的方法在基板100上進行沉積或生長。
在一些範例中,擴散過濾層102及硼摻質層104其中每一者的厚度可為10奈米(nm)或較淺、或4mn或較淺,例如1nm與4nm之間、2nm與4nm之間、或3mn與4nm之間。然而,可使用其他厚度。
可對圖1A中的膜層結構進行熱處理(退火),以使硼從硼摻質層104透過擴散過濾層102並擴散進入基板100。這係示意性顯示於圖1B中,其中硼擴散係由箭頭108代表。熱處理在擴散過濾層102下方的基板100中形成超淺硼摻雜區域106。熱處理可包含在惰性大氣(例如,氬(Ar)、或氮(N2 ))中將基板100加熱至100℃與1000℃之間的溫度,持續10秒與10分鐘之間的時間。一些熱處理的範例包含介於100℃與500℃之間、200℃與500℃之間、300℃與500℃之間、及400℃與500℃之間的基板溫度。其他範例包含介於500℃與1000℃之間、600℃與1000℃之間、700℃與1000℃之間、800℃與1000℃之間、及900℃與1000℃之間的基板溫度。又其他範例包含大於800℃的基板溫度、900℃或大於900℃的基板溫度。在一些範例中,熱處理可包含快速熱退火(RTA, rapid thermal annealing)、尖波退火(spike anneal)、或雷射尖波退火(laser spike anneal)。
在一些範例中,超淺硼摻雜區域106的厚度可介於1 nm與10 nm之間、或2 nm與5 nm之間。然而,熟悉該技術領域者將輕易瞭解,基板100中超淺硼摻雜區域106的下邊界可能不是突然變化的,而是以摻雜濃度的遞減為特點。
在熱處理、及超淺硼摻雜區域106形成之後,硼摻質層104及擴散過濾層102可使用乾式蝕刻製程或溼式蝕刻製程而移除。所產生的結構係描繪於圖1C中。根據一實施例,   圖1C中描繪的結構可在較高溫度下進行第二次熱處理,以活化硼摻雜區域106中的硼摻質。
根據另一實施例,如圖2中描繪,在熱處理之前,覆蓋層105可沉積於硼摻質層104上。覆蓋層105的沉積之後,如參考圖1B-1C中所描述,對圖2中的膜結構進行熱處理,以在基板100中形成超淺硼摻雜區域106。在一範例中,覆蓋層105可含有BN,或由BN組成。在另一範例中,覆蓋層105可為氧化物層、氮化物層、或氮氧化物層,並且可包含Si、及/或一或更多的高k值介電材料。舉例而言,覆蓋層105可藉由化學氣相沉積(CVD)、或ALD而沉積。在一些範例中,覆蓋層105的厚度可介於1nm與100nm之間,2mn與50mn之間,或2mn與20mn之間。
圖3A至3C根據本發明又另一實施例,顯示在基板中形成超淺硼摻雜區域之處理流程的示意性橫剖面圖。該處理流程類似於圖1A-1C中的處理流程,但如圖3A所描繪,該處理流程更包含在基板100上形成圖案化的擴散過濾層112、以及圖案化擴散過濾層112上的圖案化硼摻質層114。舉例而言,可使用習知的光微影圖案化及蝕刻方法來形成圖案化擴散過濾層112及圖案化硼摻質層114。
圖3A中的膜結構可受到熱處理(退火),以使硼從圖案化硼摻質層114透過圖案化擴散過濾層112並擴散進入基板100。這係示意性顯示於圖3B中,其中硼擴散係由箭頭118表示。熱處理在圖案化擴散過濾層112下方的基板100中形成超淺硼摻雜區域116。
在熱處理及超淺硼摻雜區域116的形成之後,圖案化硼摻質層114及圖案化擴散過濾層112可使用乾式蝕刻製程或溼式蝕刻製程而移除。所產生的結構係繪示於圖3C中。
根據另一實施例,如圖4中描繪,在熱處理之前,圖案化覆蓋層115可形成於圖案化硼摻質層114上。圖案化覆蓋層115形成之後,如參考圖1B-1C中所描述,可對圖4中的膜結構進行熱處理,以在基板100中形成超淺硼摻雜區域116。
根據另一實施例,圖4中圖案化覆蓋層115可被空白(未圖案化)覆蓋層取代,該空白(未圖案化)覆蓋層係沉積於圖案化硼摻質層114上、以及鄰近圖案化擴散過濾層112的基板100上。
根據另一實施例,圖3A中的圖案化擴散過濾層112可被空白的擴散過濾層取代。
根據本發明一實施例,擴散過濾層、硼摻質層、及覆蓋層的兩者或多者可在單一製程腔室中沉積。這防止該等層曝露於環境濕氣及空氣中的其他氧化氣體。在一範例中,該等層的ALD可在製程腔室中於後續處理期間達成,其中氮化硼層係使用以下者之交替氣相曝露而沉積:硼胺化物或有機硼前驅物、及第一反應無氣體(如NH3 );此後氧化硼層係使用以下者之交替氣相曝露而沉積:硼胺化物或有機硼前驅物、及第二反應物氣體(如H2 O、O2 、或O3 , 或其二或更多者的組合);且此後氮化硼覆蓋層係使用以下者之交替氣相曝露而沉積:硼胺化物或有機硼前驅物、及第三反應物氣體(如針對氮化硼層的 NH3 )。在一範例中,氧化硼層可被氮氧化硼層取代,該氮氧化硼層係使用以下者之交替氣相曝露而沉積:硼胺化物或有機硼前驅物、及第四反應物氣體(例如:a) H2 O、O2 、或O3 、及NH3 ;或者b) NO、NO2 、或N2 O、以及可選H2 O、O2 、O3 、及NH3 其中一或更多者)。根據一實施例,擴散過濾層及硼摻質層可在同一製程腔室中沉積,其中基板在擴散過濾層及硼摻質層的沉積期間係維持於相同的基板溫度。
圖5顯示甫完成沉積之含有Al2 O3 /B2 O3 /Si層之膜層結構的硼摻雜深度輪廓、以及該膜層結構經熱處理(退火)的硼摻雜深度輪廓。該深度輪廓圖係使用背側SIMS而獲得,且進一步顯示Si及Al的深度輪廓。B2 O3 及Al2 O3 層係在同一製程腔室中藉由ALD而沉積,其中B2 O3 層係使用60個ALD循環而沉積。該膜層結構係在N2 環境中於1000℃進行熱處理(退火)30秒。
圖6顯示甫完成沉積之含有SiN/BN/Si層之膜層結構的硼摻雜深度輪廓、及該膜層結構經熱處理(退火)的硼摻雜深度輪廓。該深度輪廓圖係使用背側SIMS而獲得,且進一步顯示Si及SiN的深度輪廓。BN及SiN層係藉由ALD而沉積,其中BN層係使用40個ALD循環而沉積。該膜層結構係在N2 環境中於1000℃進行熱處理(退火)30秒。
圖5及6中的SIMS深度輪廓顯示在1000℃的熱處理期間硼非常輕易地從B2 O3 層擴散進入Si基板,但作為比較,與Si接觸的BN係非常不活潑且穩定的材料。這至少可部分地解釋BN比B2 O3 更為耐高溫、以及BN的熔點為2966℃而B2 O3 僅為450℃的原因。該發明的實施例利用該性質來使用BN作為擴散過濾層,以阻礙並控制硼從硼摻質層透過擴散過濾層並進入Si基板的擴散。因此,硼擴散可藉由調整擴散過濾層的厚度而控制。
圖7顯示Si基板上經熱處理B2 O3 及BN層的標準化Rs結果。膜層結構包含在N2 環境中於1000℃熱處理30秒的覆蓋層/B2 O3 /Si、及覆蓋層/BN/Si。標準化片電阻(Rs)結果顯示在1000℃的熱處理期間,硼非常輕易地從B2 O3 層擴散進入Si基板。對比之下,與Si接觸的BN係非常不活潑且穩定的材料。
圖8顯示Si基板上經熱處理之B2 O3 層的Rs結果。B2 O3 層係使用30、40、及60個ALD循環而沉積,且此後於N2 環境中於900℃或1000℃熱處理30秒。B2 O3 層的沉積及熱處理係在不曝露於空氣的情況下,於叢集的晶圓處理工具中執行。Rs結果顯示硼進入Si基板的擴散隨增加的熱處理溫度、及增加的B2 O3 層厚度而增加。
圖9-11將含有B2 O3 /Si層的樣本經熱處理(退火)的硼摻雜深度輪廓與Si基板的深度輪廓作對比。B2 O3 層係使用60個ASL循環而沉積,且然後在900℃(圖10)、及1000℃(圖11)進行熱處理,且將深度輪廓與Si基板作比較(圖9)。SIMS結果顯示硼隨著增加熱處理溫度而更深地擴散進入Si基板。接近B2 O3 /Si接合面的硼濃度約為1E+20 原子數/cm3 ,這接近於圖12中所顯示的硼固體溶解度 (改寫自Vick及Whittle的J. Electrochem. Soc. 116, 1142 (1969))。
在各種實施例中已揭露藉由硼從硼摻質層透過擴散過濾層進入基板之固相擴散在基板中形成超淺硼摻雜區域的複數實施例。該發明之實施例的上述說明已針對說明及描述之目的而呈現。其並非意圖詳盡無疑,或意圖將該發明限制於所揭露的精確形式。該描述內容及隨後之申請專利範圍包括僅用於描述性目的、而不應被解釋為限制性的用語。熟悉此相關領域者可察知,就上述教示而言,許多修改及變化是可能的。熟悉該技術領域者將瞭解圖示中所顯示各種元件的等效組合及替換。因此,該發明的範疇並非意在受限於實施方式,而是受限於此處所附的申請專利範圍。
100‧‧‧基板
102‧‧‧擴散過濾層
104‧‧‧摻雜層
105‧‧‧覆蓋層
106‧‧‧硼摻雜區域
108‧‧‧箭頭
112‧‧‧擴散過濾層
114‧‧‧圖案化硼摻質層
115‧‧‧圖案化覆蓋層
116‧‧‧硼摻雜區域
118‧‧‧箭頭
在隨附圖示中:
圖1A-1C根據本發明實施例,顯示在基板中形成超淺硼摻雜區域之處理流程的示意性橫剖面圖;
圖2根據本發明另一實施例,顯示在基板中形成超淺硼摻雜區域之膜層結構的示意性橫剖面圖;
圖3A-3C根據本發明又另一實施例,顯示在基板中形成超淺硼摻雜區域之處理流程的示意性橫剖面圖;
圖4根據本發明另一實施例,顯示在基板中形成超淺硼摻雜區域之膜層結構的示意性橫剖面圖;
圖5顯示甫完成沉積之含有Al2 O3 /B2 O3 /Si層之膜層結構的硼摻雜深度輪廓、以及該膜層結構經熱處理(退火)的硼摻雜深度輪廓。
圖6顯示甫完成沉積之含有SiN/BN/Si層之膜層結構的硼摻雜深度輪廓、以及該膜層結構經熱處理(退火)的硼摻雜深度輪廓。
圖7顯示Si基板上經熱處理之B2 O3 及BN層的標準化Rs結果;
圖8顯示Si基板上經熱處理之B2 O3 層的Rs結果;
圖9-11將經熱處理(退火)之含有B2 O3 /Si層之樣本的硼摻雜深度輪廓與Si基板的深度輪廓作比較;以及
圖12顯示作為溫度之函數的硼固體溶解度。
100‧‧‧基板
112‧‧‧擴散過濾層
114‧‧‧圖案化硼摻質層
116‧‧‧硼摻雜區域
118‧‧‧箭頭

Claims (20)

  1. 一種在半導體裝置中形成超淺硼摻雜區域的方法,該方法包含: 在一基板上沉積一擴散過濾層,該擴散過濾層包含一氮化硼層、一氮氧化硼層、一氮化矽層、或一氮氧化矽層; 在該擴散過濾層上沉積一硼摻質層,該硼摻質層含有氧化硼、氮氧化硼、或其組合,且需以該擴散過濾層及該硼摻質層不包含同一材料為條件;以及 對該基板進行熱處理,從而藉由硼從該硼摻質層透過該擴散過濾層並進入該基板之受控擴散在該基板中形成該超淺硼摻雜區域。
  2. 如申請專利範圍第1項之在半導體裝置中形成超淺硼摻雜區域的方法,更包含: 在該熱處理之前,在該硼摻質層上沉積一覆蓋層。
  3. 如申請專利範圍第2項之在半導體裝置中形成超淺硼摻雜區域的方法,其中該覆蓋層包含一氮化硼層。
  4. 如申請專利範圍第1項之在半導體裝置中形成超淺硼摻雜區域的方法,更包含: 將該擴散過濾層及該硼摻質層從該基板移除。
  5. 如申請專利範圍第1項之在半導體裝置中形成超淺硼摻雜區域的方法,其中該熱處理係在一惰性大氣中執行。
  6. 如申請專利範圍第1項之在半導體裝置中形成超淺硼摻雜區域的方法,其中該熱處理係在大於800℃的基板溫度下執行。
  7. 如申請專利範圍第1項之在半導體裝置中形成超淺硼摻雜區域的方法,其中該熱處理係在900℃、或更高的基板溫度下執行。
  8. 如申請專利範圍第1項之在半導體裝置中形成超淺硼摻雜區域的方法,其中該基板包含一上凸特徴部或一下凹特徴部,且該擴散過濾層及該硼摻質層係保形地沉積於該上凸特徴部上、或該下凹特徴部中。
  9. 如申請專利範圍第1項之在半導體裝置中形成超淺硼摻雜區域的方法,其中該擴散過濾層、該硼摻質層、或該擴散過濾層及該硼摻質層兩者係藉由原子層沉積(ALD)而沉積。
  10. 如申請專利範圍第9項之在半導體裝置中形成超淺硼摻雜區域的方法,其中該擴散過濾層及該硼摻質層係使用一硼胺化物前驅物及一反應物氣體的交替氣相曝露而沉積。
  11. 如申請專利範圍第10項之在半導體裝置中形成超淺硼摻雜區域的方法,其中該硼摻質層含有氧化硼,且該反應物氣體含有H2 O、O2 、或O3 、或其二或更多者的組合。
  12. 如申請專利範圍第10項之在半導體裝置中形成超淺硼摻雜區域的方法,其中該硼摻質層含有氮氧化硼,且該反應物氣體含有a) H2 O、O2 、或O3 、及NH3 ,或者b) NO、NO2 、或N2 O、以及可選地包含H2 O、O2 、O3 、及NH3 其中一或更多者。
  13. 如申請專利範圍第9項之在半導體裝置中形成超淺硼摻雜區域的方法,其中該擴散過濾層及該硼摻質層係使用一有機硼前驅物及一反應物氣體的交替氣相曝露而沉積。
  14. 如申請專利範圍第13項之在半導體裝置中形成超淺硼摻雜區域的方法,其中該硼摻質層含有氧化硼,且該反應物氣體含有H2 O、O2 、或O3 、或其二或更多者的組合。
  15. 如申請專利範圍第1項之在半導體裝置中形成超淺硼摻雜區域的方法,其中該硼摻質層含有氮氧化硼,且該反應物氣體含有a) H2 O、O2 、或O3 、及NH3 ,或者b) NO、NO2 、或N2 O、以及可選地包含H2 O、O2 、O3 、及NH3 其中一或更多者。
  16. 如申請專利範圍第9項之在半導體裝置中形成超淺硼摻雜區域的方法,其中該擴散過濾層係使用一硼胺化物前驅物及含NH3 之一反應物氣體進行交替的氣相曝露而沉積。
  17. 如申請專利範圍第9項之在半導體裝置中形成超淺硼摻雜區域的方法,其中該擴散過濾層係使用有機硼前驅物及含NH3 的一反應物氣體的交替氣相曝露而沉積。
  18. 如申請專利範圍第1項之在半導體裝置中形成超淺硼摻雜區域的方法,其中該擴散過濾層及該硼摻質層係以在同一製程腔室中沉積,且其中該基板在該擴散過濾層及該硼摻質層的沉積期間係維持於相同的基板溫度。
  19. 一種在半導體裝置中形成超淺硼摻雜區域的方法,該方法包含: 在一基板上沉積一擴散過濾層,該擴散過濾層包含一氮化硼層; 在該擴散過濾層上沉積一硼摻質層,該硼摻質層含有氧化硼; 在該硼摻質層上沉積一覆蓋層; 在一惰性大氣中對該基板進行熱處理,從而藉由硼從該硼摻質層透過該擴散過濾層並進入該基板之受控擴散在該基板中形成該超淺硼摻雜區域;以及 將該擴散過濾層及該硼摻質層從該基板移除。
  20. 一種在半導體裝置中形成超淺硼摻雜區域的方法,該方法包含: 在一基板上沉積一擴散過濾層,該擴散過濾層包含一氮化硼層; 在該擴散過濾層上沉積一硼摻質層,該硼摻質層含有氮氧化硼; 在該硼摻質層上沉積一覆蓋層; 在一惰性大氣中對該基板進行熱處理,從而藉由硼從該硼摻質層透過該擴散過濾層並進入該基板之受控擴散在該基板中形成該超淺硼摻雜區域;以及 將該擴散過濾層及該硼摻質層從該基板移除。
TW105106230A 2015-03-03 2016-03-02 控制硼摻質之固相擴散以形成超淺摻雜區域的方法 TWI631603B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562127690P 2015-03-03 2015-03-03
US62/127,690 2015-03-03

Publications (2)

Publication Number Publication Date
TW201639008A true TW201639008A (zh) 2016-11-01
TWI631603B TWI631603B (zh) 2018-08-01

Family

ID=56849934

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105106230A TWI631603B (zh) 2015-03-03 2016-03-02 控制硼摻質之固相擴散以形成超淺摻雜區域的方法

Country Status (3)

Country Link
US (1) US9899224B2 (zh)
KR (1) KR101852673B1 (zh)
TW (1) TWI631603B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI719768B (zh) * 2018-12-20 2021-02-21 美商應用材料股份有限公司 成長摻雜iv族材料的方法
CN112599410A (zh) * 2020-12-16 2021-04-02 上海玻纳电子科技有限公司 提高n型单晶硅片硼扩散的方法

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9978645B2 (en) * 2015-07-31 2018-05-22 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of manufacturing the same
US10141189B2 (en) * 2016-12-29 2018-11-27 Asm Ip Holding B.V. Methods for forming semiconductors by diffusion
KR102549176B1 (ko) * 2017-11-06 2023-07-03 한국전자통신연구원 질화물 반도체 소자의 제조 방법
US10636873B2 (en) * 2017-11-22 2020-04-28 Vanguard International Semiconductor Corporation Method of fabricating semiconductor device
US11328928B2 (en) 2018-06-18 2022-05-10 Applied Materials, Inc. Conformal high concentration boron doping of semiconductors
KR102644924B1 (ko) * 2018-08-11 2024-03-06 어플라이드 머티어리얼스, 인코포레이티드 도핑 기법들
CN113841224A (zh) * 2019-03-22 2021-12-24 朗姆研究公司 提供掺杂硅的方法
US11990334B2 (en) 2019-07-19 2024-05-21 Tokyo Electron Limited Method for tuning stress transitions of films on a substrate
FI130211B (fi) * 2020-10-29 2023-04-24 Beneq Oy Puolijohdeseostusmenetelmä ja välivaiheen puolijohdetuote
US11894232B2 (en) 2022-03-22 2024-02-06 Applied Materials, Inc. Methods for forming charge layers using gas and liquid phase coatings

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5279976A (en) 1991-05-03 1994-01-18 Motorola, Inc. Method for fabricating a semiconductor device having a shallow doped region
US5281552A (en) 1993-02-23 1994-01-25 At&T Bell Laboratories MOS fabrication process, including deposition of a boron-doped diffusion source layer
US5478776A (en) 1993-12-27 1995-12-26 At&T Corp. Process for fabricating integrated circuit containing shallow junction using dopant source containing organic polymer or ammonium silicate
US6228750B1 (en) 1994-12-30 2001-05-08 Lucent Technologies Method of doping a semiconductor surface
DE19526184A1 (de) 1995-07-18 1997-04-03 Siemens Ag Verfahren zur Herstellung eines MOS-Transistors
US5792703A (en) * 1996-03-20 1998-08-11 International Business Machines Corporation Self-aligned contact wiring process for SI devices
US5994209A (en) 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US6372588B2 (en) 1997-04-21 2002-04-16 Advanced Micro Devices, Inc. Method of making an IGFET using solid phase diffusion to dope the gate, source and drain
US6150243A (en) 1998-11-05 2000-11-21 Advanced Micro Devices, Inc. Shallow junction formation by out-diffusion from a doped dielectric layer through a salicide layer
US6238986B1 (en) 1998-11-06 2001-05-29 Advanced Micro Devices, Inc. Formation of junctions by diffusion from a doped film at silicidation
JP2001053017A (ja) 1999-08-06 2001-02-23 Hitachi Ltd 半導体装置の製造方法
US6586318B1 (en) 1999-12-28 2003-07-01 Xerox Corporation Thin phosphorus nitride film as an N-type doping source used in laser doping technology
US6686630B2 (en) 2001-02-07 2004-02-03 International Business Machines Corporation Damascene double-gate MOSFET structure and its fabrication method
US6812523B1 (en) 2001-09-21 2004-11-02 Wei-Kan Chu Semiconductor wafer with ultra thin doping level formed by defect engineering
US6849528B2 (en) 2001-12-12 2005-02-01 Texas Instruments Incorporated Fabrication of ultra shallow junctions from a solid source with fluorine implantation
US6677646B2 (en) 2002-04-05 2004-01-13 International Business Machines Corporation Method and structure of a disposable reversed spacer process for high performance recessed channel CMOS
CN100359652C (zh) 2002-06-26 2008-01-02 山米奎普公司 一种制造一半导体器件的方法
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6790733B1 (en) 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
US6905941B2 (en) 2003-06-02 2005-06-14 International Business Machines Corporation Structure and method to fabricate ultra-thin Si channel devices
US6911383B2 (en) 2003-06-26 2005-06-28 International Business Machines Corporation Hybrid planar and finFET CMOS devices
US6974779B2 (en) * 2003-09-16 2005-12-13 Tokyo Electron Limited Interfacial oxidation process for high-k gate dielectric process integration
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100589062B1 (ko) 2004-06-10 2006-06-12 삼성전자주식회사 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법
US7091069B2 (en) 2004-06-30 2006-08-15 International Business Machines Corporation Ultra thin body fully-depleted SOI MOSFETs
US7316970B2 (en) 2004-07-14 2008-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming high selectivity protection layer on semiconductor device
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7727845B2 (en) 2005-10-24 2010-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Ultra shallow junction formation by solid phase diffusion
KR100704380B1 (ko) 2005-12-06 2007-04-09 한국전자통신연구원 반도체 소자 제조 방법
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
CN100590803C (zh) 2007-06-22 2010-02-17 中芯国际集成电路制造(上海)有限公司 原子层沉积方法以及形成的半导体器件
CN100590805C (zh) 2007-06-22 2010-02-17 中芯国际集成电路制造(上海)有限公司 原子层沉积方法以及形成的半导体器件
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US7968440B2 (en) 2008-03-19 2011-06-28 The Board Of Trustees Of The University Of Illinois Preparation of ultra-shallow semiconductor junctions using intermediate temperature ramp rates and solid interfaces for defect engineering
US9299866B2 (en) 2010-12-30 2016-03-29 Zena Technologies, Inc. Nanowire array based solar energy harvesting device
WO2010033744A2 (en) 2008-09-19 2010-03-25 Applied Materials, Inc. Methods of making an emitter having a desired dopant profile
KR101057188B1 (ko) 2008-11-11 2011-08-16 주식회사 하이닉스반도체 Pmos 트랜지스터의 제조방법 및 이를 이용한 반도체 소자의 듀얼 게이트 형성방법
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US7993999B2 (en) 2009-11-09 2011-08-09 International Business Machines Corporation High-K/metal gate CMOS finFET with improved pFET threshold voltage
US8114761B2 (en) 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US8288292B2 (en) 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
US8617938B2 (en) * 2011-01-25 2013-12-31 International Business Machines Corporation Device and method for boron diffusion in semiconductors
US8580664B2 (en) 2011-03-31 2013-11-12 Tokyo Electron Limited Method for forming ultra-shallow boron doping regions by solid phase diffusion
US8610201B1 (en) 2012-08-16 2013-12-17 Kabushiki Kaisha Toshiba FinFET comprising a punch-through stopper

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI719768B (zh) * 2018-12-20 2021-02-21 美商應用材料股份有限公司 成長摻雜iv族材料的方法
CN112599410A (zh) * 2020-12-16 2021-04-02 上海玻纳电子科技有限公司 提高n型单晶硅片硼扩散的方法
CN112599410B (zh) * 2020-12-16 2022-12-13 上海玻纳电子科技有限公司 提高n型单晶硅片硼扩散的方法

Also Published As

Publication number Publication date
US9899224B2 (en) 2018-02-20
KR101852673B1 (ko) 2018-04-30
TWI631603B (zh) 2018-08-01
KR20160107123A (ko) 2016-09-13
US20160260611A1 (en) 2016-09-08

Similar Documents

Publication Publication Date Title
TWI631603B (zh) 控制硼摻質之固相擴散以形成超淺摻雜區域的方法
TWI478215B (zh) 藉由固相擴散形成超淺摻雜區域之方法
TWI533357B (zh) 藉由固相擴散形成超淺硼摻雜區域之方法
JP5028093B2 (ja) 半導体製造用のゲート電極ドーパント活性化方法
TWI721033B (zh) 對基底進行摻雜與對半導體裝置進行摻雜的方法以及對基底進行摻雜的系統
US10522421B2 (en) Nanosheet substrate isolated source/drain epitaxy by nitrogen implantation
US7888747B2 (en) Semiconductor device and method of fabricating the same
US7838887B2 (en) Source/drain carbon implant and RTA anneal, pre-SiGe deposition
US8785286B2 (en) Techniques for FinFET doping
CN102511081B (zh) 用于形成具有嵌入应力源的高性能场效应晶体管的方法和结构
JP6085592B2 (ja) 固相拡散により極浅ドーピング領域を形成する方法
US7985985B2 (en) Semiconductor device and method of fabricating the same
KR102608805B1 (ko) 컨포멀 도펀트 증착을 사용한 3d si 구조들의 컨포멀 도핑
Biswas et al. Impact of punch-through stop implants on channel doping and junction leakage for Ge ${p} $-FinFET applications
TW202321492A (zh) 藉由固態擴散的超淺摻質區域及歐姆接觸區域
KR100446954B1 (ko) 탄화규소 반도체 소자의 제조방법