TWI717554B - 使用pvd釕的方法與裝置 - Google Patents

使用pvd釕的方法與裝置 Download PDF

Info

Publication number
TWI717554B
TWI717554B TW106133053A TW106133053A TWI717554B TW I717554 B TWI717554 B TW I717554B TW 106133053 A TW106133053 A TW 106133053A TW 106133053 A TW106133053 A TW 106133053A TW I717554 B TWI717554 B TW I717554B
Authority
TW
Taiwan
Prior art keywords
layer
ruthenium
temperature
substrate
silicide
Prior art date
Application number
TW106133053A
Other languages
English (en)
Other versions
TW201819655A (zh
Inventor
喬瑟林簡 拉瑪林簡
羅斯 馬歇爾
雷建新
先敏 唐
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201819655A publication Critical patent/TW201819655A/zh
Application granted granted Critical
Publication of TWI717554B publication Critical patent/TWI717554B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/18Metallic material, boron or silicon on other inorganic substrates
    • C23C14/185Metallic material, boron or silicon on other inorganic substrates by cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01044Ruthenium [Ru]

Abstract

描述了含釕的閘極堆疊和形成含釕閘極堆疊的方法。含有釕的閘極堆疊包含:多晶矽層,在基板上;矽化物層,在多晶矽層上;阻擋層,在矽化物層上;釕層,在阻擋層上; 及間隔層,包含在釕層的側面上的氮化物,其中釕層在形成間隔層之後基本上不包含氮化釕。形成釕層包含以下步驟:在氪環境中在包含高電阻率陶瓷材料的高電流靜電卡盤上濺射釕。濺射的釕層在大於或等於約500℃的溫度下退火。

Description

使用PVD釕的方法與裝置
本揭露書一般關於結合物理氣相沉積(PVD)釕的方法和電子裝置。特定地,本揭露書關於其中以PVD Ru層替代PVD鎢,以提供較低電阻率膜的方法和電子裝置。
半導體積體電路的持續小型化已迫使許多傳統結構發生變化,且已需要隨之而來的需求,以改進用以創建新結構的製程。用於較大特徵尺寸的傳統MOS(金屬氧化物半導體)電晶體閘極結構包括沉積的氮化鎢阻擋層和鎢通孔層。濺射是沉積WN阻擋層和W通孔層的通常優選方法。然而,隨著薄膜厚度的降低,鎢電阻率增加,導致在位線應用中未來節點DRAM的有用性降低。
因此,本領域存在有用於薄膜和用以沉積具有較低的位線電阻率和對氧化不敏感的薄膜的方法的需求。
本揭露書的一個或多個實施例涉及形成閘極堆疊的方法。提供了一種電漿濺射腔室,包括靶和基座,靶包含釕,基座用於支撐與靶相對而濺射沉積的基板。基座包含大於或等於約350℃的溫度的高電流靜電卡盤。氪流到腔室中並激發成電漿,以在基板上沉積釕層。提供退火腔室,且在大於或等於約500℃的溫度下對基板上的釕層進行退火。
本揭露書的另外的實施例涉及閘極堆疊,包含:多晶矽層,在基板上;矽化物層,在多晶矽層上;阻擋層,在矽化物層上;釕層,在阻擋層上;及間隔層,包含在釕層的側面上的氮化物。釕層在形成間隔層之後基本上不包含氮化釕。
本揭露書的進一步的實施例涉及形成閘極堆疊的方法。在基板上形成多晶矽層。在多晶矽層上形成矽化物層。矽化物層包含具有約20Å的厚度的矽化鈦。在矽化物層上形成阻擋層。阻擋層包含TiN,TaN,WN,或TiSiN的一種或多種。在阻擋層上形成任選的界面層。PVD Ru層沉積在阻擋層或任選的界面層上。PVD Ru層在氪環境中,以大於或等於約350℃的溫度,在包含高電阻率陶瓷的高電流靜電卡盤上沉積在基板上。PVD Ru層具有約100Å到約300Å的範圍中的厚度。PVD Ru層在大於或等於約500℃的溫度下進行退火。在退火的PVD Ru層的側面上形成間隔層。間隔層包含SiN並基本上不形成氮化釕。
在描述本發明的幾個示例性實施例之前,應當理解本發明不限於以下的描述中所闡述的構造或製程步驟的細節。本發明能夠具有其他實施例並且能夠以各種方式實踐或執行。
本文所用的「基板」是指在製造過程中在其上進行膜處理的任何基板或形成在基板上的材料表面。例如,可進行處理的基板表面包括諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石的材料,及諸如金屬、金屬氮化物、金屬合金和其它導電材料的任何其它材料,這取決於應用。基板包括(但不限於) 半導體晶圓。基板可曝露於預處理製程以拋光、蝕刻、還原、氧化、羥基化、退火及/或烘烤基板表面。除了直接在基板本身的表面上進行膜處理之外,在本發明中,所揭露的膜處理步驟的任何一個也可在如下面更詳細揭露的基板上形成的下層上進行,術語「基板表面」意欲包括上下文所指示的此類下層。因此,例如,當將膜/層或部分膜/層沉積在基板表面上時,新沉積的膜/層的曝露表面成為基板表面。
如在本說明書和所附隨的申請專利範圍中所使用的,術語「前驅物」、「反應物」、「反應性氣體」及類似者可互換使用,以指能夠與基板表面反應的任何氣體物質。
在本揭露書的一個或多個實施例中,較低電阻率的Ru膜用高溫偏壓靜電卡盤(ESC)沉積。一些實施例在約190℃至約550℃的溫度範圍中使用Kr濺射Ru製程。在一些實施例中可使用兩步驟製程來改善Ru電阻率以及膜表面形態。隨著製程溫度在約190℃至約550℃的範圍中升高,Ru電阻隨著ESC溫度的升高而降低,並在約680℃至約900℃的範圍中的溫度退火。藉由組合高溫Ru製程和較高溫度退火製程,與W膜相比可形成較低電阻率的Ru膜。
參考第1圖,本揭露書的一個或多個實施例涉及金屬氧化物半導體電晶體閘極堆疊40。電晶體閘極堆疊40包括形成為較低摻雜的矽層基板14的高摻雜源極/汲極(S/D)區域10、12 ,其可在矽晶圓上外延地形成或甚至在絕緣體上矽(SOI))結構中形成。可能在S/D區域10、12的佈植和退火步驟之前,可在整個MOS區域之上方形成薄的閘極氧化物層16。閘極堆疊40形成在閘極氧化物層16之上方。各種實施例的閘極堆疊40包含多晶矽層20、矽化物層42、阻擋層44和釕層46。氧化物-氮化物-氧化物(ONO)結構可夾在多晶矽層20內。
源極/汲極孔26可光刻地蝕刻到S/D區域10、12之上方的閘極氧化物層16,以限定閘極堆疊40。可由氧化矽製成的間隔物28可形成在閘極堆疊40的側面上。在形成閘極堆疊40之後,可使用堆疊40作為佈植遮罩而佈植S/D區域10、12。
隨後的處理步驟可在S/D孔26的底部打開閘極氧化物層16,如有必要,提供歐姆接觸層,並用多晶矽或金屬去填充S/D孔26,以電接觸S/D區域10、12。可移除覆蓋層24以在形成MOS閘極的S/D區域10、12之間提供與閘極氧化物層16的頂部的電接觸。
各種實施例的釕層46直接形成在阻擋層44上。如就這一點而使用的,術語「直接」表示在釕層46和阻擋層44之間不存在界面層。
本揭露書的一個或多個實施例涉及包含在基板14上的多晶矽層20的閘極堆疊。多晶矽層20可具有合適的厚度並且可藉由任何合適的技術形成。一些實施例的多晶矽層20包含氧化物-氮化物-氧化物(ONO)結構。
矽化物層42形成在多晶矽層20上。一些實施例的矽化物層42包含矽化鈦(TiSi)。矽化物層42的厚度可為任何合適的厚度。在一些實施例中,矽化物層42具有在約10Å到約50Å的範圍中的厚度,或在約15Å至約40Å的範圍中,或在約20Å至約30Å的範圍中。
矽化物層42可藉由任何合適的技術或製程形成。例如,矽化物層42可藉由化學氣相沉積(CVD),電漿增強化學氣相沉積(PECVD),原子層沉積(ALD),電漿增強原子層沉積(PEALD),或物理氣相沉積(PVD)而形成。
阻擋層44形成在矽化物層42上。阻擋層可由任何合適的材料和任何合適的技術製成。在一些實施例中,阻擋層包含氮化物。在一個或多個實施例中,氮化物包含TiN,TaN,WN,或TiSiN的一種或多種。
阻擋層的厚度可為任何合適的厚度。在一些實施例中,阻擋層44具有在約20Å至約200Å的範圍中的厚度,或在約30Å至約150Å的範圍中,或在約50Å至約100Å的範圍中。
阻擋層44可藉由任何合適的技術或製程形成。例如,阻擋層44可藉由化學氣相沉積(CVD),電漿增強化學氣相沉積(PECVD),原子層沉積(ALD),電漿增強原子層沉積(PEALD),或物理氣相沉積(PVD)而形成。
釕層46形成在阻擋層44上。釕層46藉由物理氣相沉積形成,並可稱為PVD Ru層。釕層46可直接形成在阻擋層44上而沒有界面層。如就這一點而使用的,「界面層」是在阻擋層44和釕層46之間分開並有意形成的層。在一些實施例中,釕層46形成在任選的界面層(未顯示)上。
釕層46可形成為任何合適的厚度。在一些實施例中,釕層46具有在約100Å至約300Å的範圍中的厚度,或在約100Å至約200Å的範圍中,或在約150Å至約300Å的範圍中,或在約200Å至約300Å的範圍中。
在一些實施例中,形成釕層46包含提供電漿濺射腔室,電漿濺射腔室包括靶和基座,靶包含釕,基座用於支撐與靶相對的待被濺射沉積的基板。一些實施例的基座包含保持在大於或等於約350℃的溫度的高電流靜電卡盤。在一些實施例中,靜電卡盤保持在約450℃至約550℃的範圍中的溫度。在一些實施例中,高電流靜電卡盤包含高電阻率陶瓷。
電漿處理氣體(如,氪氣)流到電漿濺射腔室中並激發成電漿。電漿使得釕原子從釕靶濺射到基板上,以沉積釕層46。在一些實施例中,電漿處理氣體基本上僅包含氪。如就這一點而使用的,術語「基本上只有」表示活性電漿物質大於或等於約90原子%的Kr。
在第2圖的橫截面圖中示意性地顯示了可用於濺射釕層46的濺射腔室50的實例。濺射腔室50包括圍繞中心軸線54佈置的真空腔室52,釕靶56通過隔離器58被支撐在真空腔室52上,隔離器58將靶56真空密封到真空腔室52,並將靶56與電接地真空腔室52電隔離。真空 泵系統(未顯示)將真空腔室52的內部抽吸到低毫托範圍中的壓力。
釕靶56的前表面的形狀可為平面的或大體上凹的,具有比內徑部分更厚的外周邊邊緣。釕靶56包括面向真空腔室52內部且通常含有不超過5原子%的釕以外的元素的釕層,以提供濺射釕源。
DC功率源60相對於接地的真空腔室52或接地的側壁屏蔽(未顯示)將靶負偏壓到約600至1000VDC,以將電漿處理氣體激發成電漿。
通常,氬是電漿處理氣體,並通過質流控制器從氬氣源供應到真空腔室52中。然而,本發明人已經發現,氬原子可能嵌入沉積的釕層46中,而使用氪氣源62作為電漿處理氣體不會導致氪原子的嵌入。因此,各種實施例的電漿處理氣體基本上由氪組成,或由氪組成。在一些實施例中,電漿處理氣體基本上僅包含氪原子。氪氣源62通過質流控制器64連接到真空腔室52。
靶功率將電漿處理氣體激發成電漿,且電漿的正電荷離子朝向靶56加速並從其中濺射釕原子。電漿的密度藉由放置在靶56的背面的磁控管66而增加,磁控管66具有一種磁極性的內部磁極68,內部磁極68藉由相對磁極性的外部磁極70所包圍。極68、70將平行於靶56的表面的磁場投射到真空腔室52中,以捕獲電子,並從而增加電漿密度和所得到的濺射速率。為了提高濺射均勻性和靶利用率,磁極68、70圍繞中心軸線54而不對稱,但是支撐在連接到沿著中心軸線54延伸的軸74的臂72上。馬達76使軸74旋轉,且從而磁控管66圍繞中心軸線54提供至少方位均勻性。
真空腔室52內的基座80支撐與靶56相對的晶圓82或其它基板,以塗覆從靶56濺射的釕。除了對準標記之外,晶圓通常是平面的和圓形的。任選地,RF功率源84通過電容耦合電路86而偏壓基座80。基座80是導電的,使得它用作為電極。在真空腔室52內的電漿存在下的RF偏壓導致基座80上產生負DC自偏壓,使得濺射的釕離子朝向晶圓82加速,並且它們的軌跡進入形成在晶圓82中的任何高深寬比的孔。
在濺射釕層46之後,提供退火腔室來退火釕層46。退火腔室可為適於將具有釕層46的基板的溫度升高到大於或等於約500ºC的溫度的任何腔室。合適的退火腔室包括(但不限於)熱處理腔室、快速熱退火(RTA)腔室,尖峰退火腔室和雷射退火腔室。在一些實施例中,在氮氣環境中,在約900℃的溫度下退火釕層約30秒。在一些實施例中,退火釕層包含將釕層加熱至約500℃,以大於或等於約50℃/秒的速率將溫度升高至約900℃,保持溫度約30秒,並以等於或大於約70℃/秒的速率冷卻溫度。
在形成包括退火的釕層46之後,在釕層46的側面46a上形成包含氮化物的間隔層28。一些實施例的間隔層28包含SiN。在一個或多個實施例中,間隔層28的形成導致在釕層46的側面基本上不形成氮化釕。如以這種方式使用的,「基本上不含氮化釕」是指小於約5%的釕層46的寬度變成氮化釕。在一些實施例中,小於約2%的釕層46的寬度變成氮化釕。
在形成間隔層28之前,期間或之後,可在釕層46的頂部上形成任選的覆蓋層24。覆蓋層24可由與間隔層28相同的材料製成,或者可為不同的材料。
實施例
第3圖顯示了作為膜厚度的函數的沉積的釕,退火的釕和沉積的鎢膜的金屬電阻率(μΩ-cm)的曲線圖。退火後的釕電阻率與沉積的鎢電阻率相似。將釕膜在氮氣環境中在約900℃的溫度下退火約30秒。
第4圖顯示了沉積的釕和退火的釕膜的釕電阻率(μΩ-cm)的曲線圖。膜以約450℃的靜電卡盤溫度沉積,並在氮氣環境中在約825℃或900℃下退火約30秒。
貫穿這份說明書的「一個實施例」,「某些實施例」,「一個或多個實施例」或「實施例」的引用意味著結合實施例描述的特定特徵,結構,材料或特性包括在本發明的至少一個實施例中。因此,貫穿這份說明書的各個地方中的諸如「在一個或多個實施例中」,「在某些實施例中」,「在一個實施例中」或「在實施例中」)的短語的出現不一定指代本發明的相同實施例。此外,特定的特徵,結構,材料或特性可以任何合適的方式結合在一個或多個實施例中。
儘管已經參考特定實施例描述了本發明,但是應當理解這些實施例僅僅是本發明的原理和應用的說明。對於熟悉本領域技術者顯而易見的是,在不背離本發明的精神和範圍的情況下,可對本發明的方法和設備進行各種修改和變化。因此,本發明意圖包括在附隨的申請專利範圍及其等效元件的範圍內的修改和變化。
10‧‧‧區域12‧‧‧區域14‧‧‧基板20‧‧‧多晶矽層24‧‧‧覆蓋層28‧‧‧間隔物/間隔層40‧‧‧閘極堆疊42‧‧‧矽化物層44‧‧‧阻擋層46‧‧‧釕層46a‧‧‧側面50‧‧‧濺射腔室52‧‧‧真空腔室54‧‧‧中心軸線/靶56‧‧‧靶58‧‧‧隔離器60‧‧‧DC功率源62‧‧‧氪氣源64‧‧‧質流控制器666‧‧‧磁控管68‧‧‧極70‧‧‧極72‧‧‧臂74‧‧‧軸76‧‧‧馬達80‧‧‧基座82‧‧‧晶圓84‧‧‧RF功率源86‧‧‧電容耦合電路
因此,可詳細了解本發明的上述特徵的方式,簡短摘要於上的本發明的更具體的描述可藉由參考實施例而獲得,其中一些實施例顯示在附隨的圖式中。然而,應當注意附隨的圖式僅顯示了本發明的典型實施例,且因此不應被視為限制其範圍,因為本發明可承認其他同等有效的實施例。
第1圖根據本揭露書的一個或多個實施例的氣體堆疊;
第2圖顯示了根據本揭露書的一個或多個實施例的物理氣相沉積腔室的示意性橫截面圖;
第3圖顯示了作為膜厚度的函數的釕和鎢膜的金屬電阻率的曲線圖;及
第4圖顯示了作為靜電卡盤溫度的函數的釕膜的電阻率的曲線圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
10‧‧‧區域
12‧‧‧區域
14‧‧‧基板
20‧‧‧多晶矽層
24‧‧‧覆蓋層
28‧‧‧間隔物/間隔層
40‧‧‧閘極堆疊
42‧‧‧矽化物層
44‧‧‧阻擋層
46‧‧‧釕層
46a‧‧‧側面

Claims (24)

  1. 一種形成一閘極堆疊的方法,該方法包含以下步驟:提供一電漿濺射腔室,該電漿濺射腔室包括一靶和一基座,該靶包含釕,該基座用於支撐與該靶相對的待被濺射沉積的一基板,該基座包含大於或等於350℃的溫度的一高電流靜電卡盤;將氪氣流到該腔室中,並將該氪氣激發成一電漿,以在該基板上沉積一釕層;提供一退火腔室;及在一氮氣環境中,在900℃的溫度下退火在該基板上的該釕層30秒。
  2. 如請求項1所述之方法,其中該靜電卡盤的一溫度在450℃至550℃的範圍中。
  3. 如請求項1所述之方法,其中該靜電卡盤包含高電阻率陶瓷。
  4. 如請求項1所述之方法,其中退火該釕層包含將該釕層加熱至500℃,以大於或等於50℃/秒的速率將該溫度升高至900℃,將該溫度保持30秒,並以等於或大於70℃/秒的速率冷卻該溫度。
  5. 如請求項1所述之方法,其中該釕層具有在100Å至300Å的範圍中的一厚度。
  6. 如請求項1所述之方法,其中該釕層直接沉積在一阻擋層上而沒有一界面層。
  7. 如請求項6所述之方法,其中該阻擋層包含TiN,TaN,WN,或TiSiN的一種或多種。
  8. 如請求項7所述之方法,其中該阻擋層形成在一矽化物層上。
  9. 如請求項8所述之方法,其中該矽化物層包含具有20Å的一厚度的TiSi。
  10. 如請求項1所述之方法,進一步包含在該釕層的多個側面上形成一間隔層,該間隔層包含SiN。
  11. 如請求項10所述之方法,其中形成該間隔層之步驟基本上不形成氮化釕。
  12. 一種閘極堆疊,包含:一多晶矽層,在一基板上;一矽化物層,在該多晶矽層上;一阻擋層,在該矽化物層上;一釕層,在該阻擋層上;一界面層,在該釕層與該阻擋層之間;及一間隔層,包含在該釕層的多個側面上的一氮化物,其中該釕層在形成該間隔層之後基本上不包含氮化 釕。
  13. 如請求項12所述之閘極堆疊,其中該矽化物層包含具有20Å的一厚度的矽化鈦。
  14. 如請求項13所述之閘極堆疊,其中該阻擋層包含TiN,TaN,WN,或TiSiN的一種或多種。
  15. 如請求項14所述之閘極堆疊,其中該釕層具有在100Å至300Å的範圍中的一厚度。
  16. 如請求項12所述之閘極堆疊,其中該間隔層包含SiN。
  17. 一種形成一閘極堆疊的方法,包含以下步驟:在一基板上形成一多晶矽層;在該多晶矽層上形成一矽化物層,該矽化物層包含具有20Å的一厚度的矽化鈦;在該矽化物層上形成一阻擋層,該阻擋層包含TiN,TaN,WN,或TiSiN的一種或多種;在該阻擋層上任選地形成一界面層;沉積一PVD Ru層在該阻擋層或任選的該界面層上,該PVD Ru層在一氪環境中,以大於或等於350℃的一溫度,在包含一高電阻率陶瓷的一高電流靜電卡盤上沉積在該基板上,該PVD Ru層具有100Å到300Å的範圍中的一厚度; 在一氮氣環境中,在900℃的一溫度下退火該PVD Ru層30秒;及在經退火的該PVD Ru層的多個側面上形成一間隔層,該間隔層包含SiN並基本上不形成氮化釕。
  18. 一種形成一閘極堆疊的方法,該方法包含以下步驟:提供一電漿濺射腔室,該電漿濺射腔室包括一靶和一基座,該靶包含釕,該基座用於支撐與該靶相對的待被濺射沉積的一基板,該基板之上具有一阻擋層,該基座包含大於或等於350℃的溫度的一高電流靜電卡盤,該阻擋層包含TiN,TaN,WN,或TiSiN的一種或多種,該阻擋層形成在一矽化物層上;將氪氣流到該腔室中,並將該氪氣激發成一電漿,以在該基板的該阻擋層上沉積一釕層而沒有一界面層;提供一退火腔室;及在一氮氣環境中,在900℃的溫度下退火在該基板上的該釕層30秒。
  19. 如請求項18所述之方法,其中該靜電卡盤的一溫度在450℃至550℃的範圍中。
  20. 如請求項18所述之方法,其中該靜電卡盤包含高電阻率陶瓷。
  21. 如請求項18所述之方法,其中退火該釕層包含將該釕層加熱至500℃,以大於或等於50℃/秒的速率將該溫度升高至900℃,將該溫度保持30秒,並以等於或大於70℃/秒的速率冷卻該溫度。
  22. 如請求項18所述之方法,其中該釕層具有在100Å至300Å的範圍中的一厚度。
  23. 如請求項18所述之方法,其中該矽化物層包含具有20Å的一厚度的TiSi。
  24. 如請求項18所述之方法,進一步包含在該釕層的多個側面上形成一間隔層,該間隔層包含SiN。
TW106133053A 2016-10-03 2017-09-27 使用pvd釕的方法與裝置 TWI717554B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662403694P 2016-10-03 2016-10-03
US62/403,694 2016-10-03

Publications (2)

Publication Number Publication Date
TW201819655A TW201819655A (zh) 2018-06-01
TWI717554B true TWI717554B (zh) 2021-02-01

Family

ID=61758877

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106133053A TWI717554B (zh) 2016-10-03 2017-09-27 使用pvd釕的方法與裝置
TW109147009A TWI787702B (zh) 2016-10-03 2017-09-27 使用pvd釕的方法與裝置

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW109147009A TWI787702B (zh) 2016-10-03 2017-09-27 使用pvd釕的方法與裝置

Country Status (6)

Country Link
US (1) US10388532B2 (zh)
JP (1) JP6929940B2 (zh)
KR (1) KR102285299B1 (zh)
CN (2) CN117198869A (zh)
TW (2) TWI717554B (zh)
WO (1) WO2018067464A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI787702B (zh) * 2016-10-03 2022-12-21 美商應用材料股份有限公司 使用pvd釕的方法與裝置

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111996506A (zh) * 2020-07-31 2020-11-27 同济大学 高反射率高纯度x射线多层膜反射镜的制备方法及反射镜
KR20220076870A (ko) 2020-12-01 2022-06-08 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR20230003836A (ko) 2021-06-30 2023-01-06 한국알박(주) Pvd 방법을 통한 저저항 재료의 비저항 및 결정성 제어 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW399281B (en) * 1997-03-28 2000-07-21 Applied Materials Inc Electrostatic chuck having a unidirectionally conducting coupler layer
US20070066013A1 (en) * 2005-09-22 2007-03-22 Hynix Semiconductor Inc. Method for fabricating semiconductor device
US20070235321A1 (en) * 2006-04-07 2007-10-11 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (ipvd)

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04122046A (ja) * 1990-09-13 1992-04-22 Canon Inc 静電吸着装置
JPH0677164A (ja) * 1992-08-28 1994-03-18 Sharp Corp 半導体装置の製造方法
US6104596A (en) * 1998-04-21 2000-08-15 Applied Materials, Inc. Apparatus for retaining a subtrate in a semiconductor wafer processing system and a method of fabricating same
JP3606095B2 (ja) * 1998-10-06 2005-01-05 セイコーエプソン株式会社 半導体装置の製造方法
KR100389913B1 (ko) * 1999-12-23 2003-07-04 삼성전자주식회사 공정조건을 변화시키면서 화학기상 증착법으로 루테늄막을형성하는 방법 및 그에 의해 형성된 루테늄막
JP2001332514A (ja) * 2000-05-23 2001-11-30 Sony Corp 配向性金属薄膜の成膜方法及び配向性金属薄膜を有する機能素子
US6617248B1 (en) 2000-11-10 2003-09-09 Micron Technology, Inc. Method for forming a ruthenium metal layer
US7781327B1 (en) * 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
JP2003162811A (ja) * 2001-11-26 2003-06-06 Fuji Electric Co Ltd 磁気記録媒体、および、その製造方法
JP3875906B2 (ja) * 2002-03-26 2007-01-31 ソニー株式会社 アモルファス金属酸化膜の製造方法
US7910165B2 (en) * 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
JP2005276972A (ja) * 2004-03-24 2005-10-06 Nec Electronics Corp 半導体装置及びその製造方法
EP1877592A2 (en) * 2005-04-21 2008-01-16 Honeywell International Inc. Novel ruthenium-based materials and ruthenium alloys, their use in vapor deposition or atomic layer deposition and films produced therefrom
US7531404B2 (en) 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
US7645710B2 (en) * 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
JP4931939B2 (ja) * 2006-03-09 2012-05-16 アプライド マテリアルズ インコーポレイテッド 半導体デバイスを形成する方法
US7521775B2 (en) 2006-06-13 2009-04-21 Intel Corporation Protection of three dimensional transistor structures during gate stack etch
US7465634B2 (en) * 2006-10-18 2008-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming integrated circuit devices having n-MOSFET and p-MOSFET transistors with elevated and silicided source/drain structures
KR100884360B1 (ko) * 2007-09-21 2009-02-17 서울시립대학교 산학협력단 니켈 실리사이드 제조방법
US20120266958A1 (en) * 2008-11-07 2012-10-25 Solopower, Inc. Methods of forming ruthenium-group iiia alloys
JP2012174765A (ja) * 2011-02-18 2012-09-10 Ibaraki Univ 半導体集積回路装置用ルテニウムバリア膜とその作製方法及び該ルテニウムバリア膜を有する半導体集積回路装置とその製造方法
JP5624931B2 (ja) 2011-04-13 2014-11-12 キヤノンアネルバ株式会社 スピネルフェライト薄膜の製造方法
CN102418078A (zh) * 2011-12-14 2012-04-18 南京大学 一种超高强度纳米晶金属Ru薄膜的制备方法
JP6373160B2 (ja) * 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
US9437714B1 (en) * 2015-12-09 2016-09-06 International Business Machines Corporation Selective gate contact fill metallization
TWI717554B (zh) * 2016-10-03 2021-02-01 美商應用材料股份有限公司 使用pvd釕的方法與裝置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW399281B (en) * 1997-03-28 2000-07-21 Applied Materials Inc Electrostatic chuck having a unidirectionally conducting coupler layer
US20070066013A1 (en) * 2005-09-22 2007-03-22 Hynix Semiconductor Inc. Method for fabricating semiconductor device
US20070235321A1 (en) * 2006-04-07 2007-10-11 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (ipvd)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI787702B (zh) * 2016-10-03 2022-12-21 美商應用材料股份有限公司 使用pvd釕的方法與裝置

Also Published As

Publication number Publication date
CN109804458A (zh) 2019-05-24
TWI787702B (zh) 2022-12-21
JP2019530981A (ja) 2019-10-24
TW201819655A (zh) 2018-06-01
KR102285299B1 (ko) 2021-08-02
CN109804458B (zh) 2023-08-22
TW202130837A (zh) 2021-08-16
KR20190051082A (ko) 2019-05-14
JP6929940B2 (ja) 2021-09-01
CN117198869A (zh) 2023-12-08
US10388532B2 (en) 2019-08-20
US20180096852A1 (en) 2018-04-05
WO2018067464A1 (en) 2018-04-12

Similar Documents

Publication Publication Date Title
TWI487029B (zh) 用於形成金屬矽化物之方法及設備
TWI717554B (zh) 使用pvd釕的方法與裝置
JP2006524438A (ja) シリコンを導入することによって、仕事関数を微調整したメタルゲート構造を形成する方法
US8216933B2 (en) Krypton sputtering of low resistivity tungsten
US6579783B2 (en) Method for high temperature metal deposition for reducing lateral silicidation
US9252146B2 (en) Work function adjustment by carbon implant in semiconductor devices including gate structure
JP5458177B2 (ja) 半導体装置の製造方法および装置
TW201842218A (zh) 阻障膜沉積及處理
US6254739B1 (en) Pre-treatment for salicide process
TW202129782A (zh) 用於減除式自我對齊之方法及裝置
US6806172B1 (en) Physical vapor deposition of nickel
TW202009975A (zh) 藉由氮化鈦與鋁膜的整合沉積用於摻雜工程與臨界電壓調整之方法與設備
TW202236420A (zh) 依序電漿及熱處理
US9218961B2 (en) Methods of forming a metal containing layer on a substrate with high uniformity and good profile control
US6548403B1 (en) Silicon oxide liner for reduced nickel silicide bridging
JPWO2008139815A1 (ja) 半導体装置及びその製造方法
KR101041858B1 (ko) 반도체장치의 단일 측벽 콘택 형성 방법
US8580630B2 (en) Methods for forming a metal gate structure on a substrate
US20040222083A1 (en) Pre-treatment for salicide process
JPH04219928A (ja) 半導体装置の製造方法
TW202117037A (zh) 用於藉由物理氣相沉積(pvd)來沉積鋁的方法與設備
CN115148588A (zh) 半导体结构的制造方法、半导体结构、晶体管与存储器
EP1524687A1 (en) Silicide formation by substantially simultaneous deposition of metal and silicon
JP2003209068A (ja) 半導体装置の製造方法