JP2019530981A - Pvdルテニウムを使用した方法及び装置 - Google Patents

Pvdルテニウムを使用した方法及び装置 Download PDF

Info

Publication number
JP2019530981A
JP2019530981A JP2019517908A JP2019517908A JP2019530981A JP 2019530981 A JP2019530981 A JP 2019530981A JP 2019517908 A JP2019517908 A JP 2019517908A JP 2019517908 A JP2019517908 A JP 2019517908A JP 2019530981 A JP2019530981 A JP 2019530981A
Authority
JP
Japan
Prior art keywords
layer
ruthenium
temperature
silicon compound
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019517908A
Other languages
English (en)
Other versions
JP6929940B2 (ja
Inventor
ジョーティーリンガム ラマリンガム,
ジョーティーリンガム ラマリンガム,
ロス マーシャル,
ロス マーシャル,
チエンシン レイ,
チエンシン レイ,
シャンミン タン,
シャンミン タン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2019530981A publication Critical patent/JP2019530981A/ja
Application granted granted Critical
Publication of JP6929940B2 publication Critical patent/JP6929940B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/18Metallic material, boron or silicon on other inorganic substrates
    • C23C14/185Metallic material, boron or silicon on other inorganic substrates by cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01044Ruthenium [Ru]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • Thermal Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Physical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)

Abstract

ルテニウム含有ゲートスタック及び、ルテニウム含有ゲートスタックを形成する方法が説明される。ルテニウム含有ゲートスタックは、基板上にポリシリコン層と、ポリシリコン層上にケイ素化合物層と、ケイ素化合物層上にバリア層と、バリア層上にルテニウム層と、ルテニウム層の側面に窒化物を含むスペーサ層とを含み、ルテニウム層は、前記スペーサ層の形成後、窒化ルテニウムを実質的に全く含まない。ルテニウム層を形成することは、高抵抗セラミック材料を含む高電流静電チャック上のクリプトン環境下でルテニウムをスパッタリングすることを含む。スパッタされたルテニウム層は、約500℃以上の温度でアニールされる。【選択図】図1

Description

[0001] 本開示は概して、物理的気相堆積(PVD)されたルテニウムを取り込む方法及び電子デバイスに関する。具体的には、本開示は、より抵抗の低い膜を提供するため、PVDタングステンをPVD Ru層で代替する方法及び電子デバイスに関する。
[0002] 半導体集積回路の微細化が続いているため、従来の構造の多くは変更を余儀なくされており、新しい構造を作るために工程の改良が必要になっている。より大きな特徴サイズのための従来のMOS(金属酸化物半導体)トランジスタゲートには、堆積された窒化タングステンバリア層及びタングステンビア層が含まれる。スパッタリングは一般的に、WNバリア層及びWビア層の堆積で好まれる方法である。しかしながら、タングステンの抵抗は膜厚が減少すると増大し、その結果、ビットラインアプリケーションでは、将来のノードのDRAMの有用性が減少する。
[0003] そのため、当該技術分野では、ビットライン抵抗が低く、酸化に対して不感である膜、並びにその膜を堆積する方法が必要になっている。
[0004] 本開示の一又は複数の実施形態は、ゲートスタックを形成する方法を対象としている。ルテニウムを含むターゲットと、ターゲットに対向させてスパッタ堆積される基板を支持するためのペデスタルとを含むプラズマスパッタチャンバが提供される。ペデスタルは、約350℃以上の温度で、高電流静電チャックを備える。基板にルテニウム層を堆積するため、クリプトンがチャンバに流し込まれ、励起されてプラズマになる。アニールチャンバが提供され、基板上のルテニウム層は約500℃以上の温度でアニールされる。
[0005] 本開示の追加の実施形態は、基板上に多結晶シリコン層と、多結晶シリコン層上にケイ素化合物層と、ケイ素化合物層上にバリア層と、バリア層上にルテニウム層と、ルテニウム層の側面に窒化物を含むスペーサ層とを備えるゲートスタックを対象としている。ルテニウム層は、スペーサ層の形成後、窒化ルテニウムを実質的に全く含まない。
[0006] 本開示の更なる実施形態は、ゲートスタックを形成する方法を対象とする。ポリシリコン層は基板上に形成される。ケイ素化合物層はポリシリコン層上に形成される。ケイ素化合物層は約20Åの厚さのケイ化チタンを含む。バリア層はケイ素化合物層上に形成される。バリア層は、TiN、TaN、WN又はTiSiNのうちの一又は複数を含む。オプションの界面層はバリア層上に形成される。PVD Ru層は、バリア層又はオプションの界面層の上に堆積される。PVD Ru層は、クリプトン環境下で約350℃以上の温度で、高抵抗セラミックを含む高電流静電チャック上で、基板に堆積される。PVD Ru層は、約100Åから約300Åの範囲内の厚みを有する。PVD Ru層は、約500℃以上の温度でアニールされる。スペーサ層は、アニールされたPVD Ru層の側面に形成される。スペーサ層はSiNを含み、窒化ルテニウムを実質的に全く形成しない。
[0007] 本発明の上述の特徴を詳細に理解しうるように、上記で簡単に要約されている本発明のより詳細な説明が、実施形態を参照することによって得られ、一部の実施形態は付随する図面に示されている。しかし、本発明は他の等しく有効な実施形態も許容しうることから、付随する図面は、この発明の典型的な実施形態のみを示しており、したがって、発明の範囲を限定するとみなすべきではないことに、留意されたい。
本開示の一又は複数の実施形態による、ガススタックを示す。 本開示の一又は複数の実施形態による、物理的気相堆積チャンバの概略断面図を示す。 ルテニウム膜及びタングステン膜の金属抵抗を膜厚の関数のグラフとして示している。 ルテニウム膜の抵抗を静電チャック温度の関数のグラフとして示している。
[0012] 本発明のいくつかの例示的な実施形態を説明する前に、本発明は下記の説明において明記される構成又はプロセスステップの詳細事項に限定されないということを、理解されたい。本発明は、他の実施形態が可能であり、かつ、様々な方法で実践又は実行されることが可能である。
[0013] 本書で使用される「基板」とは、製造プロセス中に膜処理が実行される任意の基板又は基板上に形成された材料表面のことを指す。例えば、処理が実行されうる基板表面には、用途に応じて、シリコン、酸化シリコン、ストレインドシリコン、シリコン・オン・インシュレータ(SOI)、炭素がドープされた酸化シリコン、アモルファスシリコン、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに金属、金属窒化物、金属合金、及びその他の導電材料などの任意の他の材料が含まれる。基板は、半導体ウエハを含むが、それに限定されるわけではない。基板表面を研磨し、エッチングし、還元し、酸化させ、ヒドロキシル化し、アニールし、かつ/又はベイクするために、基板は前処理プロセスに曝露されることがある。本発明では、基板自体の表面に直接的に膜処理を行うことに加えて、開示されている膜処理ステップのうちの任意のものが、より詳細に後述するように、基板に形成された下部層に実施されることもある。「基板表面(substrate surface)」という語は、文脈に示唆されるこのような下部層を含むことを意図している。したがって、例えば、膜/層又は部分的な膜/層が基板表面上に堆積されていれば、新たに堆積された膜/層の曝露面が基板表面となる。
[0014] 「前駆体」、「反応物質」、「反応性ガス」などの用語は、本明細書及び添付の特許請求の範囲で使用される場合、基板表面と反応することができる任意のガス種を指すために、交換可能に使用される。
[0015] 本開示の一又は複数の実施形態では、低抵抗Ru膜は、高温バイアス可能な(high temperature biasable)静電チャック(ESC)によって配置される。幾つかの実施形態は、約190℃から約550℃の温度範囲で、KrスパッタされたRuプロセスを用いる。幾つかの実施形態では、Ru抵抗及び膜表面形態を改善するため、2段階のプロセスが用いられうる。上昇したプロセス温度が約190℃から約550℃の温度範囲にある状態で、ESC温度の上昇、並びに、約680℃から約900℃の範囲の温度でのアニール処理と共にRu抵抗は低下する。高温Ruプロセスと高温アニールプロセスを組み合わせることによって、W膜と比較して低い抵抗のRu膜を形成することができる。
[0016] 図1を参照すると、本開示の一又は複数の実施形態は、金属酸化物半導体トランジスタゲートスタック40を対象としている。トランジスタゲートスタック40は、軽度にドープされたシリコン層基板14の中に形成された、高度にドープされたソース/ドレイン(S/D)領域10、12を含み、これは、シリコンウエハの上に、或いはシリコンオンインシュレータ(SOI)の中にまで、エピタキシャル形成されうる。薄いゲート酸化物層16はMOS領域全体の上に、場合によっては、S/D領域10、12に対する注入及びアニールのステップの前に形成されうる。ゲートスタック40はゲート酸化物層16の上に形成される。様々な実施形態のゲートスタック40は、多結晶シリコン層20、ケイ素化合物層42、バリア層44及びルテニウム層46を含む。酸化物−窒化物−酸化物(ONO)構造は、多結晶シリコン層20の内部に挟まれることがある。
[0017] ソース/ドレイン孔26は、ゲートスタック40を画定するため、S/D領域10、12の上のゲート酸化物層16までフォトリソグラフィ的にエッチダウンされてもよい。酸化ケイ素から作られうるスペーサ28は、ゲートスタック40の側面に形成されうる。S/D領域10、12は、スタック40を注入マスクとして使用するため、ゲートスタック40の形成後に埋め込まれうる。
[0018] その後の処理ステップは、S/D孔26の底部にゲート酸化物層16を開き、必要であればオーミック接触層を提供し、S/D領域10、12に電気的に接触するため、S/D孔26を多結晶シリコン又は金属で充填する。MOSゲートを形成するS/D領域10、12の間のゲート酸化物層16上部に電気接点を提供するため、キャップ層24は取り除くことができる。
[0019] 様々な実施形態のルテニウム層46は、バリア層44の直上に形成される。このように使用される場合、「直上」という用語は、ルテニウム層46とバリア層44との間に界面層がないことを意味する。
[0020] 本開示の一又は複数の実施形態は、基板14上に多結晶シリコン層20を含むゲートスタックを対象としている。ポリシリコン層20は、適切な厚みを有してよく、任意の適切な技法によって形成されうる。幾つかの実施形態の多結晶シリコン層20は、酸化物−窒化物−酸化物(ONO)構造を含む。
[0021] ポリシリコン層20上にケイ素化合物層42が形成される。幾つかの実施形態のケイ素化合物層42は、ケイ化チタン(TiSi)を含む。ケイ素化合物層42の厚みは、任意の適切な厚みになりうる。幾つかの実施形態では、ケイ素化合物層42は、約10Å〜約50Åの範囲内の、又は約15Å〜約40Åの範囲内の、又は約20Å〜約30Åの範囲内の厚みを有する。
[0022] ケイ素化合物層42は、任意の適切な技術又はプロセスによって形成可能である。例えば、ケイ素化合物層42は、化学気相堆積(CVD)、プラズマ化学気相堆積(PECVD)、原子層堆積(ALD)、プラズマ原子層堆積(PEALD)又は物理的気相堆積(PVD)によって、形成可能である。
[0023] バリア層44はケイ素化合物層42上に形成される。バリア層は、任意の適切な材料から、また、任意の適切な技術によって作ることができる。幾つかの実施形態では、バリア層は窒化物を含む。一又は複数の実施形態では、窒化物は、TiN、TaN、WN又はTiSiNのうちの一又は複数を含む。
[0024] バリア層の厚みは、任意の適切な厚みになりうる。幾つかの実施形態では、バリア層44は、約20Å〜約200Åの範囲内の、又は約30Å〜約150Åの範囲内の、又は約50Å〜約100Åの範囲内の厚みを有する。
[0025] バリア層44は、任意の適切な技術又はプロセスで形成されうる。例えば、バリア層44は、化学気相堆積(CVD)、プラズマ化学気相堆積(PECVD)、原子層堆積(ALD)、プラズマ原子層堆積(PEALD)又は物理的気相堆積(PVD)によって、形成可能である。
[0026] ルテニウム層46はバリア層44上に形成される。ルテニウム層46は物理的気相堆積によって形成され、PVD Ru層と称される。ルテニウム層46は、界面層なしで、バリア層44の直上に形成されうる。このように使用される場合、「界面層」とは、バリア層44とルテニウム層46との間に、分離するように意図的に形成される層である。幾つかの実施形態では、ルテニウム層46はオプションの界面層(図示せず)の上に形成される。
[0027] ルテニウム層46は、任意の適切な厚みで形成可能である。幾つかの実施形態では、ルテニウム層は、約100Å〜約300Åの範囲内の、又は約100Å〜約200Åの範囲内の、又は約150Å〜約300Åの範囲内の、又は約200Å〜約300Åの範囲内の厚みを有する。
[0028] 幾つかの実施形態では、ルテニウム層46を形成することは、ルテニウムを含むターゲットと、ターゲットに対向させてスパッタ堆積される基板を支持するペデスタルとを含むプラズマスパッタチャンバを提供することを含む。幾つかの実施形態のペデスタルは、約350℃以上の温度で維持される高電流静電チャックを備える。幾つかの実施形態では、静電チャックは、約450℃〜約550℃の範囲内の温度で維持される。幾つかの実施形態では、高電流静電チャックは高抵抗セラミックを含む。
[0029] プラズマ処理ガス(例えば、クリプトンガス)は、プラズマスパッタチャンバに流入し、プラズマとなって排出される。ルテニウム層46を堆積するため、ルテニウム原子はプラズマによってルテニウムターゲットから基板の上へスパッタされる。幾つかの実施形態では、プラズマ処理ガスは、実質的にクリプトンのみを含む。このように使用されている場合、「実質的に〜のみ」という表現は、アクティブなプラズマ核種が約90原子%以上のKrであることを意味する。
[0030] ルテニウム層46をスパッタリングするのに有用なスパッタチャンバ50の実施例が、図2の断面図に概略的に示されている。スパッタチャンバ50は、ルテニウムターゲット56がアイソレータ58を介して中心軸54の周囲に配置される真空チャンバ52を含み、これによって、ターゲット56を真空チャンバ52に真空密閉し、電気的に接地した真空チャンバ52からターゲット56を電気絶縁する。真空ポンプシステム(図示せず)は、真空チャンバ52の内部をミリトール範囲の低い圧力まで排気する。
[0031] ルテニウムターゲット56の前面の形は、平面状になるか、内側の直径部分よりも外周エッジが厚い概ね凹面形状になりうる。ルテニウムターゲット56は、真空チャンバ52の内部に面し、典型的にはルテニウム以外の元素をわずか5原子%未満しか含有しないルテニウム層を含み、これによってスパッタされるルテニウム源を提供する。
[0032] プラズマ処理ガスを励起してプラズマにするため、接地された真空チャンバ52又は接地された側壁シールド(図示せず)に対して、ターゲットを約600〜1000VDCまで負バイアスする。
[0033] 従来、アルゴンがプラズマ処理ガスで、質量流コントローラを介して真空チャンバ52に供給される。しかしながら、発明者は、アルゴン原子が堆積したルテニウム層46に組み込まれうること、及び、プラズマ処理ガスとしてクリプトンガス源62を使用するとクリプトン原子が組み込まれずに終わることを見出した。したがって、様々な実施形態のプラズマ処理ガスはクリプトンを含む、原則的にクリプトンから成る、又はクリプトンから成る。幾つかの実施形態では、プラズマ処理ガスは実質的にクリプトン原子のみを含む。クリプトンガス源62は、質量流コントローラ64を介して真空チャンバ52に接続される。
[0034] ターゲット電力はプラズマ処理ガスを励起してプラズマにし、プラズマの正荷電イオンはターゲット54に向かって加速され、ターゲットからルテニウム原子を弾き出す。プラズマの密度は、ある極性の内側の磁極68が反対の極性の外側の磁極70によって囲まれているマグネトロン66を、ターゲット56の背面に配置することによって、高められる。電子を捕捉し、これによりプラズマ密度とその結果としてスパッタリング速度を高めるため、磁極68、70は、ターゲット56の面に対して平行な真空チャンバ52に磁場を投入する。スパッタリングの均一性とターゲットの利用率を高めるため、磁極68、70は中心軸54に対して非対称であるが、中心軸54に沿って延在するシャフト74に接続されたアーム72の上に支持されている。モーター76はシャフト74を回転し、これによってマグネトロン66を中心軸54の周りに回転し、少なくとも方位角の均一性をもたらす。
[0035] 真空チャンバ52内のペデスタル80は、ウエハ82又は他の基板をターゲット56に対向させて支持して、ターゲット56からスパッタされるルテニウムが被覆されるようにする。ウエハは、位置合わせ基準を除くと、一般的に平坦で円形である。オプションにより、RF電源84は容量性結合回路86を介してペデスタル80をバイアスする。ペデスタル80は電極として働くように導電性になっている。真空チャンバ52内のプラズマ存在下でのRFバイアスにより、負のDC自己バイアスがペデスタル80上で進展し、その結果、スパッタされたルテニウムイオンはウエハ82に向かって加速され、その軌跡はウエハ82に形成された高アスペクト比の孔の中へ深く入ることができる。
[0036] ルテニウム層46をスパッタリングした後、ルテニウム層46をアニールするためのアニールチャンバが提供される。アニールチャンバは、ルテニウム層46を有する基板の温度を約500℃以上の温度に高めるのに適した任意のチャンバになりうる。適切なアニール処理チャンバは、限定するものではないが、熱処理チャンバ、急速熱アニール処理(RTA)チャンバ、スパイクアニール処理チャンバ、及びレーザーアニール処理チャンバを含む。幾つかの実施形態では、ルテニウム層のアニール処理は、N環境下で約30秒間、約900℃の温度で行われる。幾つかの実施形態では、ルテニウム層のアニール処理は、ルテニウム層を約500℃まで加熱すること、約50℃/秒以上の速度で温度を約900℃まで上げること、前記温度を約30秒間保持すること、及び、前記温度を約70℃/秒以上の速度で冷却することを含む。
[0037] アニール処理を含め、ルテニウム層46を形成した後、窒化物を含むスペーサ層28がルテニウム層46の側面46aに形成される。幾つかの実施形態のスペーサ層28はSiNを含む。一又は複数の実施形態では、スペーサ層28の形成は、ルテニウム層46の側面に実質的に全く窒化ルテニウムを形成しない結果となる。この使用例のように、「実質的に全く窒化ルテニウムなし」という表現は、ルテニウム層46の幅の約5%未満が窒化ルテニウムになることを意味する。幾つかの実施形態では、ルテニウム層46の幅の約2%未満が窒化ルテニウムになる。
[0038] オプションのキャッピング層24は、スペーサ層28の形成前、形成中又は形成後に、ルテニウム層46の上部に形成されうる。キャッピング層24は、スペーサ層28と同じ材料で作られてもよく、或いは異なる材料で作られてもよい。
[0039] 実施例
[0040] 図3は、堆積時のルテニウム膜、アニールしたルテニウム膜、及び堆積時のタングステン膜の金属抵抗(μΩ・cm)を膜厚の関数として示したグラフである。アニール後のルテニウムの抵抗は、堆積時のタングステンの抵抗と同様であった。ルテニウム膜は、窒素環境下で約30秒間、約900℃の温度でアニールされた。
[0041] 図4は、堆積時のルテニウム膜とアニールしたルテニウム膜に対するルテニウムの抵抗(μΩ・cm)のグラフを示している。膜は、静電チャックが約450℃の状態で堆積され、窒素環境下で約30秒間、約825℃又は900℃でアニールされた。
[0042] この明細書全体を通じて「一実施形態(one embodiment)」、「ある種の実施形態(certain embodiments)」、「一又は複数の実施形態(one or more embodiments)」、又は、「実施形態(an embodiment)」に対する言及は、その実施形態に関連して説明されている特定の特徴、構造、材料、又は特性が、本発明の少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書全体の様々な箇所での「一又は複数の実施形態で(in one or more embodiments)」、「ある種の実施形態で(in certain embodiments)」、又は「一実施形態で(in an embodiment)」などの文言の表出は、必ずしも、本発明の同一の実施形態に言及するものではない。さらに、特定の特徴、構造、材料、又は特性は、一又は複数の実施形態において、任意の好適な様態で組み合わされうる。
[0043] 発明は本書で詳細な実施形態を参照して説明されているが、これらの実施形態は本発明の原理及び用途の例示にすぎないことを、理解されたい。本発明の趣旨及び範囲から逸脱することなく、本発明の方法及び装置に様々な改変及び変形を行いうることが、当業者には明らかになろう。したがって、本発明は、付随する特許請求の範囲及びその均等物に含まれる改変例及び変形例を含むことが意図されている。

Claims (15)

  1. ゲートスタックを形成する方法であって、
    ルテニウムを含むターゲットと、前記ターゲットに対向させてスパッタ堆積される基板を支持するためのペデスタルであって、約350℃以上の温度で高電流静電チャックを備えるペデスタルとを含む、プラズマスパッタチャンバを提供することと、
    前記基板上にルテニウム層を堆積するため、前記チャンバにクリプトンを流し込み、クリプトンを励起してプラズマにすることと、
    アニールチャンバを提供することと、
    約500℃以上の温度で、前記基板上の前記ルテニウム層をアニールすることと、
    を含む、方法。
  2. 前記静電チャックは、約450℃から約550℃の範囲内の温度にある、請求項1に記載の方法。
  3. 前記静電チャックは高抵抗セラミックを含む、請求項1に記載の方法。
  4. 前記ルテニウム層のアニール処理が、N環境下で約30秒間、約900℃の温度で行われる、請求項1に記載の方法。
  5. 前記ルテニウム層のアニール処理は、前記ルテニウム層を約500℃まで加熱することと、約50℃/秒以上の速度で温度を約900℃まで上げることと、前記温度を約30秒間保持することと、前記温度を約70℃/秒以上の速度で冷却することとを含む、請求項1に記載の方法。
  6. 前記ルテニウム層は、界面層なしでバリア層の上に直接堆積される、請求項1に記載の方法。
  7. 前記バリア層は、TiN、TaN、WN又はTiSiNのうちの一又は複数を含む、請求項1から6のいずれか一項に記載の方法。
  8. 前記バリア層はケイ素化合物層の上に形成される、請求項7に記載の方法。
  9. 前記ケイ素化合物層は約20Åの厚さを有するTiSiを含む、請求項8に記載の方法。
  10. 前記ルテニウム層の側面にSiNを含むスペーサ層を形成することを更に含み、前記スペーサ層を形成することは、窒化ルテニウムを実質的に全く形成しない、請求項1に記載の方法。
  11. ゲートスタックであって、
    基板上にポリシリコン層と、
    前記ポリシリコン層上にケイ素化合物層と、
    前記ケイ素化合物層上にバリア層と、
    前記バリア層上にルテニウム層と、
    前記ルテニウム層の側面に窒化物を含むスペーサ層とを含み、
    前記ルテニウム層は、前記スペーサ層の形成後、窒化ルテニウムを実質的に全く含まない、ゲートスタック。
  12. 前記ケイ素化合物層は、厚さ約20Åのケイ化チタンを含む、請求項11に記載のゲートスタック。
  13. 前記バリア層はTiN、TaN、WN又はTiSiNのうちの一又は複数を含む、請求項12に記載のゲートスタック。
  14. 前記ルテニウム層が、界面層なしで前記バリア層の上に直接形成される、請求項13に記載の方法。
  15. 前記ルテニウム層と前記バリア層との間に界面層を更に含む、請求項13に記載の方法。
JP2019517908A 2016-10-03 2017-10-02 Pvdルテニウムを使用した方法及び装置 Active JP6929940B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662403694P 2016-10-03 2016-10-03
US62/403,694 2016-10-03
US15/718,412 US10388532B2 (en) 2016-10-03 2017-09-28 Methods and devices using PVD ruthenium
US15/718,412 2017-09-28
PCT/US2017/054766 WO2018067464A1 (en) 2016-10-03 2017-10-02 Methods and devices using pvd ruthenium

Publications (2)

Publication Number Publication Date
JP2019530981A true JP2019530981A (ja) 2019-10-24
JP6929940B2 JP6929940B2 (ja) 2021-09-01

Family

ID=61758877

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019517908A Active JP6929940B2 (ja) 2016-10-03 2017-10-02 Pvdルテニウムを使用した方法及び装置

Country Status (6)

Country Link
US (1) US10388532B2 (ja)
JP (1) JP6929940B2 (ja)
KR (1) KR102285299B1 (ja)
CN (2) CN117198869A (ja)
TW (2) TWI717554B (ja)
WO (1) WO2018067464A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI717554B (zh) * 2016-10-03 2021-02-01 美商應用材料股份有限公司 使用pvd釕的方法與裝置
CN111996506A (zh) * 2020-07-31 2020-11-27 同济大学 高反射率高纯度x射线多层膜反射镜的制备方法及反射镜
KR20220076870A (ko) 2020-12-01 2022-06-08 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR20230003836A (ko) 2021-06-30 2023-01-06 한국알박(주) Pvd 방법을 통한 저저항 재료의 비저항 및 결정성 제어 방법

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04122046A (ja) * 1990-09-13 1992-04-22 Canon Inc 静電吸着装置
JPH0677164A (ja) * 1992-08-28 1994-03-18 Sharp Corp 半導体装置の製造方法
JP2000182993A (ja) * 1998-10-06 2000-06-30 Seiko Epson Corp 半導体装置の製造方法
JP2002512448A (ja) * 1998-04-21 2002-04-23 アプライド マテリアルズ インコーポレイテッド セラミックと金属の一体焼結による静電チャック
US20070066013A1 (en) * 2005-09-22 2007-03-22 Hynix Semiconductor Inc. Method for fabricating semiconductor device
US20070235321A1 (en) * 2006-04-07 2007-10-11 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (ipvd)
JP2009529789A (ja) * 2006-03-09 2009-08-20 アプライド マテリアルズ インコーポレイテッド 低エネルギープラズマシステムを用いた高誘電率トランジスタゲートを製造するための方法及び装置
US7781327B1 (en) * 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
JP2016082020A (ja) * 2014-10-15 2016-05-16 東京エレクトロン株式会社 プラズマ処理装置

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5801915A (en) * 1994-01-31 1998-09-01 Applied Materials, Inc. Electrostatic chuck having a unidirectionally conducting coupler layer
KR100389913B1 (ko) * 1999-12-23 2003-07-04 삼성전자주식회사 공정조건을 변화시키면서 화학기상 증착법으로 루테늄막을형성하는 방법 및 그에 의해 형성된 루테늄막
JP2001332514A (ja) * 2000-05-23 2001-11-30 Sony Corp 配向性金属薄膜の成膜方法及び配向性金属薄膜を有する機能素子
US6617248B1 (en) 2000-11-10 2003-09-09 Micron Technology, Inc. Method for forming a ruthenium metal layer
JP2003162811A (ja) * 2001-11-26 2003-06-06 Fuji Electric Co Ltd 磁気記録媒体、および、その製造方法
JP3875906B2 (ja) * 2002-03-26 2007-01-31 ソニー株式会社 アモルファス金属酸化膜の製造方法
US7910165B2 (en) * 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
JP2005276972A (ja) * 2004-03-24 2005-10-06 Nec Electronics Corp 半導体装置及びその製造方法
WO2006115476A2 (en) * 2005-04-21 2006-11-02 Honeywell International Inc. Ruthenium-based materials and ruthenium alloys
US7531404B2 (en) 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
US7645710B2 (en) * 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7521775B2 (en) 2006-06-13 2009-04-21 Intel Corporation Protection of three dimensional transistor structures during gate stack etch
US7465634B2 (en) * 2006-10-18 2008-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming integrated circuit devices having n-MOSFET and p-MOSFET transistors with elevated and silicided source/drain structures
KR100884360B1 (ko) * 2007-09-21 2009-02-17 서울시립대학교 산학협력단 니켈 실리사이드 제조방법
US20120266958A1 (en) * 2008-11-07 2012-10-25 Solopower, Inc. Methods of forming ruthenium-group iiia alloys
JP2012174765A (ja) * 2011-02-18 2012-09-10 Ibaraki Univ 半導体集積回路装置用ルテニウムバリア膜とその作製方法及び該ルテニウムバリア膜を有する半導体集積回路装置とその製造方法
JP5624931B2 (ja) 2011-04-13 2014-11-12 キヤノンアネルバ株式会社 スピネルフェライト薄膜の製造方法
CN102418078A (zh) * 2011-12-14 2012-04-18 南京大学 一种超高强度纳米晶金属Ru薄膜的制备方法
US9437714B1 (en) * 2015-12-09 2016-09-06 International Business Machines Corporation Selective gate contact fill metallization
TWI717554B (zh) * 2016-10-03 2021-02-01 美商應用材料股份有限公司 使用pvd釕的方法與裝置

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04122046A (ja) * 1990-09-13 1992-04-22 Canon Inc 静電吸着装置
JPH0677164A (ja) * 1992-08-28 1994-03-18 Sharp Corp 半導体装置の製造方法
JP2002512448A (ja) * 1998-04-21 2002-04-23 アプライド マテリアルズ インコーポレイテッド セラミックと金属の一体焼結による静電チャック
JP2000182993A (ja) * 1998-10-06 2000-06-30 Seiko Epson Corp 半導体装置の製造方法
US7781327B1 (en) * 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US20070066013A1 (en) * 2005-09-22 2007-03-22 Hynix Semiconductor Inc. Method for fabricating semiconductor device
JP2009529789A (ja) * 2006-03-09 2009-08-20 アプライド マテリアルズ インコーポレイテッド 低エネルギープラズマシステムを用いた高誘電率トランジスタゲートを製造するための方法及び装置
US20070235321A1 (en) * 2006-04-07 2007-10-11 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (ipvd)
JP2016082020A (ja) * 2014-10-15 2016-05-16 東京エレクトロン株式会社 プラズマ処理装置

Also Published As

Publication number Publication date
TWI717554B (zh) 2021-02-01
US20180096852A1 (en) 2018-04-05
CN109804458B (zh) 2023-08-22
TW201819655A (zh) 2018-06-01
US10388532B2 (en) 2019-08-20
KR102285299B1 (ko) 2021-08-02
KR20190051082A (ko) 2019-05-14
WO2018067464A1 (en) 2018-04-12
CN109804458A (zh) 2019-05-24
TW202130837A (zh) 2021-08-16
JP6929940B2 (ja) 2021-09-01
TWI787702B (zh) 2022-12-21
CN117198869A (zh) 2023-12-08

Similar Documents

Publication Publication Date Title
US8129280B2 (en) Substrate device having a tuned work function and methods of forming thereof
JP6929940B2 (ja) Pvdルテニウムを使用した方法及び装置
JP2006524438A (ja) シリコンを導入することによって、仕事関数を微調整したメタルゲート構造を形成する方法
TWI574404B (zh) 半導體之配置及其形成方法
JP2018537849A (ja) タングステン膜の低抵抗物理的気相堆積のためのシステムおよび方法
US20080061285A1 (en) Metal layer inducing strain in silicon
US8216933B2 (en) Krypton sputtering of low resistivity tungsten
JP2011205057A (ja) 金属窒化膜、該金属窒化膜を用いた半導体装置、および半導体装置の製造方法
US9224675B1 (en) Automatic capacitance tuning for robust middle of the line contact and silicide applications
US9252146B2 (en) Work function adjustment by carbon implant in semiconductor devices including gate structure
JP5458177B2 (ja) 半導体装置の製造方法および装置
TW201842218A (zh) 阻障膜沉積及處理
US6277736B1 (en) Method for forming gate
US20100193847A1 (en) Metal gate transistor with barrier layer
JP5960491B2 (ja) 半導体装置およびその製造方法
US20220262619A1 (en) Sequential plasma and thermal treatment
US9412861B2 (en) Semiconductor device having structure capable of suppressing oxygen diffusion and method of manufacturing the same
US8580630B2 (en) Methods for forming a metal gate structure on a substrate
CN106298484B (zh) Mos晶体管的形成方法
WO2008005216A2 (en) Metal layer inducing strain in silicon

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190606

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200729

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200901

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20201201

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210120

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210713

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210811

R150 Certificate of patent or registration of utility model

Ref document number: 6929940

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150