TWI716414B - 氧化矽膜的選擇性沉積 - Google Patents

氧化矽膜的選擇性沉積 Download PDF

Info

Publication number
TWI716414B
TWI716414B TW105119859A TW105119859A TWI716414B TW I716414 B TWI716414 B TW I716414B TW 105119859 A TW105119859 A TW 105119859A TW 105119859 A TW105119859 A TW 105119859A TW I716414 B TWI716414 B TW I716414B
Authority
TW
Taiwan
Prior art keywords
silicon oxide
oxide layer
patterned feature
substrate
selectively
Prior art date
Application number
TW105119859A
Other languages
English (en)
Other versions
TW201710539A (zh
Inventor
帕拉米特 曼納
亞伯希吉特巴蘇 馬禮克
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201710539A publication Critical patent/TW201710539A/zh
Application granted granted Critical
Publication of TWI716414B publication Critical patent/TWI716414B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76281Lateral isolation by selective oxidation of silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/053Oxides composed of metals from groups of the periodic table
    • H01L2924/054414th Group
    • H01L2924/05442SiO2

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本說明書所述的實施例一般提供一種用於填充形成在基板上的特徵之方法。在一個實施例中,提供了一種用於選擇性地在基板上形成氧化矽層的方法。該方法包括選擇性地將氧化矽層沉積在基板表面上形成的圖案化特徵中,其中該圖案化特徵包含一或多個側壁和在該圖案化特徵的底部處的沉積表面,該一或多個側壁包含氧化矽、氮化矽或以上之結合,沉積表面基本上由矽構成,及藉由將四乙氧基矽烷(TEOS)和臭氧流過該圖案化特徵而形成該選擇性沉積的氧化矽層於沉積表面上。

Description

氧化矽膜的選擇性沉積
本發明揭露的實施例一般係關於用於在半導體表面上形成薄膜的方法。
自從半導體元件引入數十年來,其幾何性質在尺寸上有顯著的減小。現代半導體製造設備常規地生產45nm、32nm和28nm特徵尺寸的元件,且新設備發展且實施成使元件具有更小的幾何形狀。減小元件尺寸導致結構特徵減少深寬比,或在形成的元件內特徵之相對於高而減少寬。隨著特徵在寬度上縮小,間隙填充和圖案化變得更具挑戰性。
由於孔隙的風險,填充具有較低深寬比的特徵變得有挑戰性。當沉積材料時,孔隙不只附著於特徵的底部,也附著於側壁,在特徵被完全填充前,孔隙橫跨特徵生長。這些孔隙使得積體電路的可靠性降低。
在其他介電薄膜上氧化矽薄膜的選擇性沉積對於自下而上的間隙填充和圖案化應用是重要的。對於氧化矽薄膜的選擇性沉積之一個有效方法包括在次大氣壓力(sub-atmospheric pressure)下將四乙氧基矽烷(TEOS)和臭氧流過基板。雖然氧化矽膜會在矽 表面上使用這種方法生長,其亦會在氮化矽或熱氧化矽表面上生長。
因此,有必要改善選擇性地填充通過氮化矽和氧化矽層形成的圖案化半導體結構之方法。
本說明書所述實施例一般係關於用於間隙填充的薄膜沉積和處理。更具體言之,本說明書所述實施例係關於用於特徵填充應用之氧化矽膜的選擇性沉積。
本說明書的實施例提供一種用於在基板上選擇性地形成氧化矽層的方法,其包括選擇性地將氧化矽層沉積在基板的表面上形成的圖案化特徵中,其中該圖案化特徵包含一或多個側壁和在該圖案化特徵的底部處的沉積表面,該一或多個側壁包含氧化矽、氮化矽或以上之結合,沉積表面基本上由矽構成,及藉由將該圖案化特徵暴露於四乙氧基矽烷(TEOS)和臭氧而形成該選擇性沉積的氧化矽層於該沉積表面上。。
本說明書的另一個實施例提供一種用於在基板上選擇性地形成氧化矽層之方法,其包括選擇性地將氧化矽層沉積在基板表面上形成的圖案化特徵中,其中該圖案化特徵包含一或多個側壁和在該圖案化特徵的底部處的沉積表面,該一或多個側壁包含氧化矽、氮化矽或以上之結合,沉積表面基本上由矽構成,及藉由將四乙氧基矽烷(TEOS)和臭氧流過該圖案化特徵而形成該選擇性沉積的氧化矽層於沉積表面上,蝕刻該選擇性 沉積的氧化矽層,以及重複將四乙氧基矽烷(TEOS)和臭氧流過該圖案化特徵及蝕刻該選擇性沉積的氧化矽層之步驟一或更多次。
本說明書的另一個實施例提供了一種用於在基板上選擇性地形成氧化矽層的方法,其包括選擇性地將氧化矽層沉積在基板表面上形成的圖案化特徵中,其中該圖案化特徵包含一或多個側壁和在該圖案化特徵的底部處的沉積表面,其中該等側壁中的各者具有基部與帽部,其中該一或多個側壁的帽部包括氮化矽,及帽部的表面積包含側壁表面積的至少三分之一。沉積表面基本上由矽構成,以及藉由將四乙氧基矽烷(TEOS)和臭氧流過該圖案化特徵而形成該選擇性沉積的氧化矽層於沉積表面上。
100:處理腔室
102:腔室主體
103:驅動系統
104:腔室蓋
106:腔室
108:氣體分配組件
112:側壁
114:內壁
116:底壁
118:處理區域
120:處理區域
122:通道
124:通道
125:泵送通道
126:桿
128:加熱器基座
130:棒
139:氣體入口管線
140:氣體入口通道
141:氣體入口連接
142:噴頭組件
200:方塊圖
280:基板
281:底部
282:含矽層
283:側壁
284:特徵
285:場區域
286:氧化矽層
300:基板
310:含矽層
320:特徵
330:氮化帽部
340:氧化矽層
350:孔隙
本發明揭露之特徵已簡要概述於前,並在以下有更詳盡之討論,可以藉由參考所附圖式中繪示之本發明實施例以作瞭解。然而,值得注意的是,所附圖式只繪示了本發明揭露的典型實施例,而由於本發明可允許其他等效之實施例,因此所附圖式並不會視為本發明範圍之限制。
圖1是根據一個實施例的處理腔室之概要截面圖。
圖2A是根據一個實施例選擇性地沉積氧化矽層之方法的方塊圖。
圖2B-2D是通過使用結合圖2A所討論的方塊而在基板表面上形成的特徵之側截面圖。
圖3A-3B表示比較矽基板上濕蝕刻速率與氮化矽基板上濕蝕刻速率的直方圖。
圖4是根據一個實施例沉積的膜之概要截面圖。
圖5A-5D表示針對根據一個實施例的選擇性沉積氧化矽之具有定向處理薄膜的基板之階段。
圖6是根據一個實施例用於選擇性沉積之具有圖案化特徵的基板之概要截面圖。圖7繪示孔隙可能來自於特徵的側壁上的生長,而不是簡單的自下而上的生長。
為便於理解,在可能的情況下,使用相同的數字編號代表圖示中相同的元件。可以預期的是,一個實施例中的元件與特徵可有利地用於其它實施例中而無需贅述。
本說明書所述的實施例一般提供一種用於填充形成在基板上的特徵之方法。具體言之,所述的實施例一般提供用於將氧化矽材料選擇性沉積於基板上的含介電質之圖案化特徵中。
圖1是根據一個實施例用於選擇性沉積薄膜的處理腔室100之概要截面圖。在一個配置中,處理腔室100可包括一個生產者GT(Producer GT)腔室,其可從美國加州聖克拉拉的應用材料公司取得。一般來說,處理腔室100包括兩個處理區域118、120。腔室 主體102包括側壁112、內壁114和底壁116,側壁112、內壁114和底壁116界定處理區域118、120。在各處理區域118、120中的底壁116界定至少兩個通道122、124,加熱器基座128的桿(stem)126及晶圓升舉銷組件的棒(rod)130分別設置通過該至少兩個通道122、124。
側壁112和內壁114界定兩個圓柱形環狀處理區域118、120。周邊泵送通道125形成在界定處理區域118、120的腔室壁中,周邊泵送通道125用於將氣體自處理區域118、120排出並控制各區域118、120內的壓力。各處理區域118、120的泵送通道125最好經由共用排氣通道(未示出)和排出導管(未示出)連接到共用排氣泵。各區域最好經由泵而抽空至一選定的壓力且所連接的排氣系統允許各區域內的壓力均等化。操作期間在處理腔室中的壓力可介於200Torr至700Torr之間。
各處理區域118、120最好包括通過腔室蓋104設置的氣體分配組件108而將氣體傳送至處理區域118、120中。各處理區域的氣體分配組件108包括氣體入口通道140,氣體入口通道140將氣體傳送到噴頭組件142。氣源(未示出)連接到氣體入口通道140且經配置以將一或多個前驅物氣體(如TEOS、臭氧、氨)和(或)惰性氣體(如氮)通過噴頭組件142而傳送至處理區域118和120。RF饋通(feedthrough)提供 偏壓電位給噴頭組件142以利於在噴頭組件142和加熱器基座128之間產生電漿。
加熱器基座128藉由桿126而可移動地設置於各處理區域118、120中,桿126連接到支撐板的下側且延伸通過腔室主體102的底部,桿126在腔室主體102中與驅動系統103連接。桿126在腔室106中向上及向下移動,以移動加熱器基座128以將晶圓定位於其上或將晶圓自其移除而用於處理。
腔室主體102界定複數個垂直氣體通道,複數個垂直氣體通道用於適合所選的處理之各反應氣體與清洗氣體而通過氣體分配組件108在腔室中傳送。氣體入口連接141設置在腔室106底部以將形成於腔室壁中的氣體通道連接至氣體入口管線139。氣體入口管線139接著連接到氣源管線(未示出)且經控制而用於將氣體傳送至各處理區域118、120。
用於本發明揭露的處理腔室100中的真空控制系統可包括與處理腔室100的各區域連通的複數個真空泵,其中各區域具有其各自的設定點壓力。RF功率輸送系統用於通過各氣體分配組件108而將RF能量傳輸到各處理區域118、120。
圖2A是根據一個實施例選擇性沉積氧化矽層的方法之方塊圖200。圖2B-2D是通過結合圖2A所討論的方塊而在基板280表面上形成的特徵之側截面圖。在方塊210,矽晶圓經預清洗以準備用於沉積。因為裸 露的矽在空氣中氧化並形成不希望的天然氧化層,所以預清洗可能在某些情況下是必要的。為了確保良好的結果,晶圓表面可剝離天然氧化層並使用濕或乾清洗處理而以氫終端(hydrogen-terminated)的表面取代。
在方塊220,含矽層282沉積在矽基板280上。含矽層282可包括如氧化矽(SiO2)或氮化矽(SiN)。可藉由將矽前驅物和氧或氮氣體或電漿流入處理腔室來沉積這種含矽層282。
在方塊230,圖案化含矽層,而導致一或多個特徵284形成在含矽介電層282中。圖案化處理可包括如施用光阻劑(photoresist)、暴露及顯影(develop)光阻劑以形成圖案在含矽層上,濕或乾蝕刻含矽層暴露的部分,去除光阻劑,及清洗圖案化表面(如圖2C中的物件283和285)而為額外的處理做準備。本領域具有通常知識者將會理解圖案化處理可根據特定條件和處理目標而變化。
如果在方塊220使用矽烷前驅物形成含矽層282,所得到的氧化矽層可具有高階的矽-氫鍵結。典型的沉積處理可包括傳送單矽烷的SiH4、氧分子O2和H2的流動,但是應該理解可以使用其它前驅物氣體。氧化矽在含矽層282中形成的圖案化特徵284中之選擇性沉積(其在稍後的方塊執行)至少部分取決於含矽沉積的介電層282在其暴露的表面具有很少氫鍵或無氫鍵。因此,具有氫終端表面的任何含氧化矽層(如矽烷形成的 含矽層)可能需要進一步處理以防止方塊250期間選擇性沉積氧化矽膜之沉積免於在這些表面(如特徵側壁和場區域)上生長。在一些實施例中,進一步的處理可包括在方塊240期間,將含矽層的圖案化表面(其在方塊220期間形成)暴露於電漿處理以取代氫終端表面。例如,在方塊240的電漿處理可包括將含矽層的表面暴露於含NH3或N2電漿處理以將氫鍵自所形成的含矽層(如SiO2層)去除。
或者,可使用四乙氧基矽烷(TEOS)前驅物形成方塊220沉積的含矽層282,以形成介電層,如SiO2或SiN層。相信使用TEOS前驅物形成的含矽層282將在其表面上具有顯著較少的氫鍵或沒有氫鍵,所以在方塊240中可選的電漿處理也可以被省略。
在方塊250,氧化矽層286選擇性地沉積在圖案化特徵284中。藉由將TEOS和臭氧(O3)流入處理腔室中而沉積氧化矽層286。沉積處理可以是熱處理或電漿增強處理。可使用沉積處理實施熱處理,該沉積處理使用約350-500℃溫度範圍及20至620Torr壓力範圍的臭氧(O3)和TEOS。在電漿增強處理中,可藉由來自RF功率供應的RF能量施加來形成在基板280附近的受控電漿。四乙氧基矽烷(TEOS)可以約每分鐘400毫克至每分鐘2克之間的速率流入300mm基板處理腔室。臭氧可以質量百分比約10%至18%的速率流入300mm基板處理腔室。
因為特徵284的高度可遠大於特徵284的寬度,所以確保特徵284從底部向上填充是很重要的。如果孔隙或縫隙的生長在特徵284的側壁283發生,則孔隙或縫隙可以產生,這導致不太均勻且因此較不可靠的積體電路。例如,圖7繪示孔隙350可能來自於特徵284的側壁283上的生長,而不是簡單的自下而上的生長。
已觀察到在方塊250期間所執行的選擇性沉積處理能夠最小化或防止藉由產生特徵284的底部281上的生長條件以及特徵284的側壁283上的有限的生長條件或沒有生長條件來形成孔隙和縫隙。在本實施例中,特徵284(選擇性沉積的膜形成於其中)由氧化矽或氮化矽或它們的組合構成。由於方塊220中形成的圖案化介電層的後處理或使用方塊220中形成的特定類型之圖案化氧化矽層(即,TEOS為基的層),圖案化含矽層的表面將有較少的Si-H鍵,且因此提供少量成核點以利於開始生長選擇性沉積層。相比之下,特徵284(圖2D)的底部281(其可以被看作是沉積表面)可由矽構成,其具有高度的Si-H鍵,且因此提供許多成核點以利生長。因此,在方塊250中,TEOS與臭氧處理使得沉積優選地發生於特徵284的底部281上,其中基板280由矽構成。TEOS和臭氧形成的選擇性沉積氧化矽層286(圖2D)沒有很好地附著於特徵284的側壁283,特徵284的側壁283是由氮化矽、氧化矽或它們的組合構成。因此,使用TEOS和臭氧選擇性地沉積的氧化矽 層286優選地形成及附著於矽基板280,使得氧化矽層由下而上的均勻生長沒有顯著生長或附著至側壁283。此處理導致孔隙最小化。
在其表面具有Si-H鍵的基板將提供成核點,其允許在基板表面上均勻或共形(conformal)的生長。沒有Si-H鍵促進生長,對這些類型的表面上之選擇性沉積層的任何生長將會不均勻的或是島狀的(island-like)。因為生長優選地發生在基板280上,所以生長在基板280表面上的圖案化特徵284的底部281(即沉積表面)處開始。如此以最小的孔隙產生氧化矽層286的均勻生長。通過比較,方塊250中揭露的處理所形成的氧化矽沒有均勻沉積,例如,在氮化矽表面上或傳統熱氧化矽層的表面上。如此一來,氧化矽層286自圖案化特徵284的底部281選擇性地生長,從而藉由使用自下而上的填充處理來中減少孔隙產生。
在一些實施例中,在方塊250期間形成的氧化矽層286可能不必要地黏附於且生長於特徵284(如溝槽)的側壁283上。為了解決這個問題,在方塊260,選擇性沉積的氧化矽層可使用稀釋的氫氟酸(DHF)而可選地蝕刻以移除側壁283與場區域(field region)285上的低品質和薄形成層。在方塊260中的此可選蝕刻之後,基板可再次經受方塊250的選擇性沉積處理。可以週期性地重複該等兩個方塊以改善如下所討論的 (例如)氮化物封端(nitride-capped)的特徵中的選擇性。
在方塊250的氧化矽層的選擇性沉積及方塊260的可選週期性沉積和蝕刻之後,在方塊270,基板可選地進行退火,例如使用燈或其它熱源熱處理。在退火方塊270期間,基板中的溫度可以是在300攝氏度至480攝氏度之間。可藉由乾蝕刻或濕蝕刻來可選地蝕刻基板。
氧化矽選擇性沉積的一個優點是在方塊250期間形成的膜之濕蝕刻速率的相對改善。一般來說,較高的濕蝕刻速率可能表示介電材料的較低密度和(或)較高的孔隙率。因此,相較於Si表面上的SiN,Si表面上SiOx遠低得多的濕蝕刻速率彰顯了SiO層均勻性提高以及因此更高的品質。圖3A和3B表示蝕刻選擇性沉積氧化矽層的效用。在圖3A中,含矽層310沉積在基板300,如在圖2A的方塊220中。圖案化含矽層310以形成特徵320,如在圖2A的方塊230中。接著提供氮化矽帽部330於圖案化的含矽層310上。對於此方塊的處理在下面有更詳細的討論。接著氧化矽層340選擇性地沉積在圖案化的含矽層310的特徵320中,如在圖2A的方塊250中。如所討論的,形成在特徵320中的氧化矽層340是一種高品質層,因為在矽基板選擇性氧化矽的優選地生長。在圖3B中,接著蝕刻膜,其可藉由暴露於100:1的DHF來實現。由於來自氮化矽帽部330的氧化 矽層340有較差的品質與低密度,故DHF優選地蝕刻來自氮化矽帽部330的氧化矽層340,同時將留在鄰近含矽層310的特徵320中之氧化矽層340保持完整,由於其改良的材料性質。
圖4是比較矽基板上濕蝕刻速率與氮化矽基板上濕蝕刻速率的直方圖。圖4假定100:1 DHF的濕蝕刻條件。如圖4所示,SiN的DHF濕蝕刻速度是Si的DHF濕蝕刻速率的兩倍多。因此,相較於Si表面上的SiN,Si表面上SiO遠低得多的濕蝕刻速率彰顯了SiO層均勻性提高以及因此更高的品質。換言之,相對於氮化膜或未準備的氧化膜上形成的選擇性生長膜的部分,選擇性生長膜層之膜品質較高。
圖5A-5D表示針對根據一個實施例的選擇性沉積氧化矽之具有定向處理薄膜的基板之階段。該處理形成氮化矽帽部330於圖案化含矽層310的頂部上。氮化矽帽部330的優點是在與方塊250結合所述的處理期間,為了防止SiO沉積在特徵320的側壁上,從而以最小化的孔隙及改善生成膜之品質來增強自下而上的特徵填充。一種用於沉積氮化矽帽部330的方法係藉由以含氮化物電漿定向處理基板。這樣的含氮化物電漿的實例可以是NH3或N2。圖5A表示執行氮化處理前的圖案化基板。
在圖5B中,以定向電漿處理圖案化含矽層310。定向電漿處理可以相對於溝槽側壁的一角度的離 子束510來實施。離子束510可由離子輔助電漿形成。在一個實例中,離子束510由含氮電漿形成。圖案化的含矽層310阻擋來自定向電漿處理的相鄰特徵的下部部份,而形成遮蔽效應(shadowing effect)。因此,只有各特徵320的頂部部分暴露於定向電漿處理。
在圖5C中,電漿510從圖案化含矽層310的另一角度定向或基板相對於入射光束旋轉以確保均勻的氮化處理在基板的表面上進行。
在圖5D中,因此定向電漿處理產生具有特徵320的含矽層310,其中圖案化含矽層320的頂部部分被氮化。因為氧化矽相較於氮化矽在矽基板上的優選地生長,當定向處理過的基板暴露於方塊250所述的前驅物氣體時,產生的矽氧化膜開始於矽基板的表面處而優選地生長以填充特徵。這個自下而上生長的優選地生長防止來自側壁的生長並以在特徵320中的最小孔隙來產生更高質量的膜。
在一些實施例中,為了實現期望的優選地生長,氮化帽部330的表面積可形成於基板310上,使得其表面積包含特徵320的總表面積的至少約三分之一。圖6是根據一個實施例用於選擇性沉積之具有圖案化含矽層310與特徵320的基板300之概要截面圖,其中氮化帽部330具有總計有特徵320的表面積的至少約三分之一的一表面積。
本發明揭露的實施例提供藉由在次大氣壓下使用TEOS和臭氧選擇性沉積氧化矽膜來優選地自下而上生長氧化矽。用這種處理過程沉積的氧化膜生長在裸矽表面上,並表示在氮化矽和熱氧化矽表面上沒有生長/島狀生長。因為矽基板上有氧化矽的優選地生長,而不是氮化矽的優選地生長,所以當定向處理過的基材暴露於前驅物氣體時,產生的矽氧化膜開始於矽基板的表面處而優選地生長以填充特徵。這個自下而上生長的優選地生長防止來自側壁的生長並以在特徵中的最小孔隙來產生更高質量的膜。
雖然前面該係針對本發明揭露的實施例,但在不背離本發明基本範圍及以下專利申請範圍所界定之範圍下,可設計本發明揭露的其他與進一步的實施例。
200‧‧‧方塊圖
210‧‧‧方塊
220‧‧‧方塊
230‧‧‧方塊
240‧‧‧方塊
250‧‧‧方塊
260‧‧‧方塊
270‧‧‧方塊

Claims (20)

  1. 一種用於在一基板上選擇性地形成一氧化矽層的方法,包括以下步驟:選擇性地將氧化矽層沉積在一基板的一表面上形成的一圖案化特徵中,其中該圖案化特徵包含一或多個側壁和在該圖案化特徵的一底部處的一沉積表面,且該等側壁的每一者具有一基部與一帽部,其中該帽部包含氮化矽且該基部包括氧化矽,及該帽部的一第一表面積係為該側壁的一表面積的至少三分之一,且該基部的一第二表面積係為該側壁的該表面積的至少一部分,其中該沉積表面基本上由矽構成,及藉由將該圖案化特徵暴露於四乙氧基矽烷(TEOS)和臭氧而在該沉積表面上形成該選擇性沉積的氧化矽層,以從該圖案化特徵的該底部填充該圖案化特徵,使得該選擇性沉積的氧化矽層相鄰於該基部及該帽部;及將該圖案化特徵內的該選擇性沉積的氧化矽層蝕刻至一深度,該深度暴露了對應於每個各別帽部的每個側壁之部分,且剩下該側壁的該基部部分未暴露。
  2. 如請求項1所述之方法,進一步包括以下步驟:在將氧化矽層選擇性地沉積於該圖案化特徵中之 後,將該選擇性沉積的氧化矽層退火。
  3. 如請求項2所述之方法,進一步包括以下步驟:在將該選擇性沉積的氧化矽層退火之後,濕蝕刻該氧化矽層。
  4. 如請求項1所述之方法,其中該四乙氧基矽烷(TEOS)以每分鐘400毫克至每分鐘2克之間的一速率流入一300mm基板處理腔室。
  5. 如請求項4所述之方法,其中該臭氧以質量百分比10%至18%的一速率流入該300mm基板處理腔室。
  6. 如請求項1所述之方法,其中在操作期間的一處理腔室中的壓力為200Torr至700Torr之間。
  7. 如請求項2所述之方法,其中在該退火步驟期間,溫度為300攝氏度至480攝氏度之間。
  8. 如請求項1所述之方法,進一步包括以下步驟:在將氧化矽層選擇性地沉積於一圖案化特徵中之前,將該圖案化特徵暴露於一含氮電漿。
  9. 如請求項8所述之方法,其中將該圖案化特徵暴露於一含氮電漿之步驟包括以下步驟:以由一含氮電漿形成的一離子束定向處理該圖案化特徵。
  10. 一種用於在一基板上選擇性地形成氧化矽層的方法,包括以下步驟:選擇性地將氧化矽層沉積在一基板的一表面上形成的一圖案化特徵中,其中該圖案化特徵包含一或多個側壁和在該圖案化特徵的一底部處的一沉積表面,且該等側壁的每一者具有一基部與一帽部,其中該帽部包含氮化矽且該基部包括氧化矽,該沉積表面基本上由矽構成,及藉由將四乙氧基矽烷(TEOS)和臭氧流過該圖案化特徵而在該沉積表面上形成該選擇性沉積的氧化矽層,以從該圖案化特徵的該底部填充該圖案化特徵,使得該選擇性沉積的氧化矽層相鄰於該基部及該帽部;在填充該圖案化特徵後,蝕刻該選擇性沉積的氧化矽層,以從每個帽部優選地蝕刻該圖案化特徵中的該選擇性沉積的氧化矽層,以暴露對應於每個帽部的每個側壁之部分,而沿著每個基部的該選擇性沉積的氧化矽層維持完整且未暴露;及重複將四乙氧基矽烷(TEOS)和臭氧流過該圖案化特徵之步驟及隨後蝕刻該選擇性沉積的氧化矽層。
  11. 如請求項10所述之方法,進一步包括以下步驟: 在將氧化矽層選擇性地沉積於該基板的一表面上形成的一圖案化特徵中之後,將該選擇性沉積的氧化矽層退火。
  12. 如請求項11所述之方法,進一步包括以下步驟:在將該選擇性沉積的氧化矽層退火之後,濕蝕刻該氧化矽層。
  13. 如請求項10所述之方法,其中該四乙氧基矽烷(TEOS)以每分鐘400毫克至每分鐘2克之間的一速率流入一300mm基板處理腔室。
  14. 如請求項13所述之方法,其中該臭氧以質量百分比10%至18%的一速率流入該300mm基板處理腔室。
  15. 如請求項10所述之方法,其中在操作期間一處理腔室中的壓力為200Torr至700Torr之間。
  16. 如請求項11所述之方法,其中在該退火步驟期間,溫度為300攝氏度至480攝氏度之間。
  17. 如請求項10所述之方法,進一步包括以下步驟:在將氧化矽層選擇性地沉積於一基板的一表面上形成的該圖案化特徵中之前,一含氮電漿流過該圖案 化特徵。
  18. 如請求項17所述之方法,其中一含氮電漿流過該圖案化特徵之步驟包括以下步驟:以由該含氮電漿形成的一離子束定向處理該圖案化特徵。
  19. 一種用於在一基板上選擇性地形成氧化矽層的方法,包括以下步驟:選擇性地將氧化矽層沉積在一基板的一表面上形成的一圖案化特徵中,其中該圖案化特徵包含一或多個側壁和在該圖案化特徵的一底部處的一沉積表面,及該等側壁的各者具有一基部與一帽部,其中該一或多個側壁的該帽部包含氮化矽,及該帽部的一表面積包含該側壁的一表面積的至少三分之一,該沉積表面基本上由矽構成,及藉由將四乙氧基矽烷(TEOS)和臭氧流過該圖案化特徵來形成該選擇性沉積的氧化矽層於該沉積表面上。
  20. 如請求項19所述之方法,進一步包括以下步驟:在將氧化矽層選擇性地沉積於該基板的一表面上形成的一圖案化特徵中之後,將該選擇性沉積的氧化矽層退火。
TW105119859A 2015-06-26 2016-06-24 氧化矽膜的選擇性沉積 TWI716414B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562185388P 2015-06-26 2015-06-26
US62/185,388 2015-06-26

Publications (2)

Publication Number Publication Date
TW201710539A TW201710539A (zh) 2017-03-16
TWI716414B true TWI716414B (zh) 2021-01-21

Family

ID=57586127

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105119859A TWI716414B (zh) 2015-06-26 2016-06-24 氧化矽膜的選擇性沉積

Country Status (6)

Country Link
US (1) US10176980B2 (zh)
JP (1) JP6920219B2 (zh)
KR (1) KR102377376B1 (zh)
CN (2) CN114121605A (zh)
TW (1) TWI716414B (zh)
WO (1) WO2016209570A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) * 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
JP7203515B2 (ja) 2017-06-06 2023-01-13 アプライド マテリアルズ インコーポレイテッド 連続した堆積-エッチング-処理方法を使用した酸化ケイ素及び窒化ケイ素のボトムアップ成長
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US10354859B1 (en) * 2018-03-14 2019-07-16 Sandisk Technologies Llc Three-dimensional resistive random access memory device containing selectively grown amorphous silicon-containing barrier and method of making the same
US11978625B2 (en) 2021-10-18 2024-05-07 Applied Materials, Inc. Methods of forming metal nitride films

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6235354B1 (en) * 1999-11-01 2001-05-22 United Microelectronics Corp. Method of forming a level silicon oxide layer on two regions of different heights on a semiconductor wafer
TW201320187A (zh) * 2011-09-26 2013-05-16 Applied Materials Inc 前處理和改善介電質覆蓋率

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08213382A (ja) * 1995-02-02 1996-08-20 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH11307625A (ja) * 1998-04-24 1999-11-05 Hitachi Ltd 半導体装置およびその製造方法
US6413826B2 (en) * 1999-04-07 2002-07-02 Vantis Corporation Gate insulator process for nanometer MOSFETS
US6429092B1 (en) 2000-06-19 2002-08-06 Infineon Technologies Ag Collar formation by selective oxide deposition
US6541401B1 (en) * 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
US6444528B1 (en) 2000-08-16 2002-09-03 Fairchild Semiconductor Corporation Selective oxide deposition in the bottom of a trench
US6368986B1 (en) 2000-08-31 2002-04-09 Micron Technology, Inc. Use of selective ozone TEOS oxide to create variable thickness layers and spacers
US6503851B2 (en) 2000-08-31 2003-01-07 Micron Technology, Inc. Use of linear injectors to deposit uniform selective ozone TEOS oxide film by pulsing reactants on and off
KR100413829B1 (ko) * 2001-03-23 2003-12-31 삼성전자주식회사 트렌치 격리 구조 및 그 형성 방법
US6566227B2 (en) * 2001-08-13 2003-05-20 Infineon Technologies Ag Strap resistance using selective oxidation to cap DT poly before STI etch
US7625603B2 (en) * 2003-11-14 2009-12-01 Robert Bosch Gmbh Crack and residue free conformal deposited silicon oxide with predictable and uniform etching characteristics
US7790633B1 (en) * 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080299775A1 (en) * 2007-06-04 2008-12-04 Applied Materials, Inc. Gapfill extension of hdp-cvd integrated process modulation sio2 process
US7745350B2 (en) * 2007-09-07 2010-06-29 Applied Materials, Inc. Impurity control in HDP-CVD DEP/ETCH/DEP processes
US8012887B2 (en) * 2008-12-18 2011-09-06 Applied Materials, Inc. Precursor addition to silicon oxide CVD for improved low temperature gapfill
JP2011066303A (ja) * 2009-09-18 2011-03-31 Elpida Memory Inc 半導体装置の製造方法
JP2012028420A (ja) * 2010-07-20 2012-02-09 Toshiba Corp 半導体装置およびその製造方法
CN102479742A (zh) * 2010-11-30 2012-05-30 中国科学院微电子研究所 用于集成电路的衬底及其形成方法
US8906759B2 (en) * 2013-02-25 2014-12-09 International Business Machines Corporation Silicon nitride gate encapsulation by implantation
US8853019B1 (en) * 2013-03-13 2014-10-07 Globalfoundries Inc. Methods of forming a semiconductor device with a nanowire channel structure by performing an anneal process
CN103839868A (zh) * 2014-02-21 2014-06-04 上海华力微电子有限公司 浅沟槽隔离结构的制作方法
CN104091780A (zh) * 2014-07-25 2014-10-08 上海华力微电子有限公司 自对准sti的形成方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6235354B1 (en) * 1999-11-01 2001-05-22 United Microelectronics Corp. Method of forming a level silicon oxide layer on two regions of different heights on a semiconductor wafer
TW201320187A (zh) * 2011-09-26 2013-05-16 Applied Materials Inc 前處理和改善介電質覆蓋率

Also Published As

Publication number Publication date
JP6920219B2 (ja) 2021-08-18
US20170004974A1 (en) 2017-01-05
CN107660307B (zh) 2021-11-05
CN114121605A (zh) 2022-03-01
WO2016209570A1 (en) 2016-12-29
KR102377376B1 (ko) 2022-03-21
CN107660307A (zh) 2018-02-02
JP2018524814A (ja) 2018-08-30
US10176980B2 (en) 2019-01-08
TW201710539A (zh) 2017-03-16
KR20180014204A (ko) 2018-02-07

Similar Documents

Publication Publication Date Title
TWI716414B (zh) 氧化矽膜的選擇性沉積
US10707116B2 (en) Cyclic flowable deposition and high-density plasma treatment processes for high quality gap fill solutions
US8232176B2 (en) Dielectric deposition and etch back processes for bottom up gapfill
US7718553B2 (en) Method for forming insulation film having high density
TWI396253B (zh) 以低蝕刻速率介電質襯裡改善間隙填充之方法
CN110476239B (zh) 使用反应性退火的间隙填充
TWI673826B (zh) 可流動膜固化穿透深度之改進以及應力調諧
TW201740448A (zh) 基板處理方法
KR20200090989A (ko) 유전 상수 엔지니어링된 인시츄 전하 트랩 재료들을 이용한 고온 정전 척킹
JP2023504353A (ja) 酸素ラジカル支援による誘電体膜の高密度化
JP2022116000A (ja) 空隙を形成するためのシステム及び方法
US20080305609A1 (en) Method for forming a seamless shallow trench isolation
KR100477810B1 (ko) Nf3 hdp 산화막을 적용한 반도체 소자 제조방법
JP2007281154A (ja) 半導体装置の製造方法
JP7374308B2 (ja) 誘電体材料を堆積する方法及び装置
JP2022534801A (ja) 高エネルギー低線量プラズマを用いた窒化ケイ素ベースの誘電体膜の後処理の方法
US7795151B2 (en) Methods of forming a trench having side surfaces including a uniform slope
JP2024504165A (ja) パルス高周波無線周波数(hfrf)プラズマを使用した間隙充填処理
US9312167B1 (en) Air-gap structure formation with ultra low-k dielectric layer on PECVD low-k chamber
JP2023057062A (ja) 傾斜酸化を用いたシームレスな間隙充填のための方法
KR101168637B1 (ko) 반도체 소자의 절연막 형성방법
JP4695158B2 (ja) 半導体装置の製造方法
KR101081854B1 (ko) 반도체 소자의 소자분리막 제조방법
KR20060011611A (ko) 반도체 소자의 소자분리 방법