CN107660307A - 氧化硅膜的选择性沉积 - Google Patents

氧化硅膜的选择性沉积 Download PDF

Info

Publication number
CN107660307A
CN107660307A CN201680028403.4A CN201680028403A CN107660307A CN 107660307 A CN107660307 A CN 107660307A CN 201680028403 A CN201680028403 A CN 201680028403A CN 107660307 A CN107660307 A CN 107660307A
Authority
CN
China
Prior art keywords
silicon oxide
oxide layer
patterned features
substrate
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680028403.4A
Other languages
English (en)
Other versions
CN107660307B (zh
Inventor
P·曼纳
A·B·玛里克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202111288200.8A priority Critical patent/CN114121605A/zh
Publication of CN107660307A publication Critical patent/CN107660307A/zh
Application granted granted Critical
Publication of CN107660307B publication Critical patent/CN107660307B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76281Lateral isolation by selective oxidation of silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/053Oxides composed of metals from groups of the periodic table
    • H01L2924/054414th Group
    • H01L2924/05442SiO2

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本说明书所述的实施例一般提供一种用于填充形成在基板上的特征的方法。在一个实施例中,提供了一种用于在基板上选择性地形成氧化硅层的方法。该方法包括将氧化硅层选择性地沉积于在基板表面上形成的图案化特征中,其中该图案化特征可包括沉积表面以及一或多个侧壁,该一或多个侧壁可包含氧化硅或氮化硅材料。沉积表面可本质上由硅构成,且选择性沉积的氧化硅层可通过使四乙氧基硅烷(TEOS)和臭氧在该图案化特征上流动而形成,其中该氧化硅层选择性地沉积在基板的沉积表面上。

Description

氧化硅膜的选择性沉积
技术领域
本发明公开的实施例一般关于用于在半导体表面上形成膜的方法。
背景技术
自从半导体器件数十年前引入以来,其几何性质在尺寸上已显著减小。现代半导体制造设备常规地生产具有45nm、32nm和28nm特征尺寸的器件,并且新设备发展且实施成使器件具有甚至更小的几何形状。减小器件尺寸导致结构特征具有减小的深宽比,或在形成的器件内特征的宽度相对于高度减小。随着特征在宽度上缩小,间隙填充和图案化变得更具挑战性。
由于孔隙的风险,填充具有较低深宽比的特征变得有挑战性。当沉积材料不只附着于特征的底部,也附着于侧壁,在特征被完全填充前,横跨特征生长时,孔隙出现。这些孔隙使得集成电路的可靠性降低。
氧化硅膜在其他介电膜上的选择性沉积对于自下而上的间隙填充和图案化应用是重要的。用于氧化硅膜的选择性沉积的一个有效方法包括在次大气压力(sub-atmospheric pressure)下使四乙氧基硅烷(TEOS)和臭氧在基板上流动。使用这种方法,虽然氧化硅膜会在硅表面上生长,但其亦会在氮化硅或热氧化硅表面上生长。
因此,选择性地填充通过氮化硅和氧化硅层形成的图案化半导体结构的改进的方法是有需要的。
发明内容
本说明书所述实施例一般关于用于间隙填充的薄膜沉积和处理。更具体言之,本说明书所述实施例关于用于特征填充应用的氧化硅膜的选择性沉积。
本说明书的一个实施例提供一种用于在基板上选择性地形成氧化硅层的方法,其包括将氧化硅层选择性地沉积于在基板的表面上形成的图案化特征中,其中该图案化特征可包括沉积表面以及一或多个侧壁,该一或多个侧壁可包含氧化硅或氮化硅材料。沉积表面可本质上由硅构成,且选择性沉积的氧化硅层可通过使四乙氧基硅烷(TEOS)和臭氧在该图案化特征上流动而形成,其中该氧化硅层选择性地沉积在该基板的该沉积表面上。
本说明书的另一个实施例提供一种用于在基板上选择性地形成氧化硅层的方法,其包括将氧化硅层选择性地沉积于在基板的表面上形成的图案化特征中,其中该图案化特征可包括沉积表面以及一或多个侧壁,该一或多个侧壁可包含氧化硅或氮化硅材料。沉积表面可本质上由硅构成,且选择性沉积的氧化硅层可通过使四乙氧基硅烷(TEOS)和臭氧在该图案化特征上流动而形成,其中该氧化硅层选择性地沉积在该基板的该沉积表面上。该方法可进一步包括蚀刻该选择性沉积的氧化硅层,以及重复使四乙氧基硅烷(TEOS)和臭氧在该图案化特征上流动及蚀刻该选择性沉积的氧化硅层的步骤一或更多次。
本说明书的另一个实施例提供了一种用于在基板上选择性地形成氧化硅层的方法,其包括将氧化硅层选择性地沉积于在基板的表面上形成的图案化特征中,其中该图案化特征可包括沉积表面以及一或多个侧壁,其中所述侧壁中的每一个侧壁可具有基部和帽部,该一或多个侧壁的帽部可包含氮化硅材料。帽部的表面积可包含侧壁的表面积的至少三分之一。沉积表面可本质上由硅构成。选择性沉积的氧化硅层可通过使四乙氧基硅烷(TEOS)和臭氧在该图案化特征上流动而形成,其中该氧化硅层选择性地沉积在该基板的该沉积表面上。
附图说明
本发明公开的特征已简要概述于前,并在以下有更详尽的讨论,可以通过参考所附附图中描绘的本发明实施例以作了解。然而,值得注意的是,所附附图只描绘了本发明公开的典型实施例,而由于本发明可允许其他等效的实施例,因此所附附图并不会视为对本发明范围的限制。
图1是根据一个实施例的处理腔室的示意性截面图。
图2A是根据一个实施例选择性地沉积氧化硅层的方法的框图。
图2B-2D是通过使用结合图2A所讨论的框而在基板的表面上形成的特征的侧视截面图。
图3是比较硅基板上湿法蚀刻速率与氮化硅基板上湿法蚀刻速率的直方图。
图4是根据一个实施例沉积的膜的示意性截面图。
图5是根据一个实施例的用于针对氧化硅的选择性沉积定向处理膜的方法。
图6是根据一个实施例用于选择性沉积的具有图案化特征的基板的示意性截面图。
为便于理解,已在可能的情况下,使用相同的数字编号代表附图所共有的相同的要素。可以预期的是,一个实施例中的要素与特征可有利地用于其他实施例中而无需赘述。
具体实施方式
本说明书所述的实施例一般提供一种用于填充形成在基板上的特征的方法。具体言之,所述的实施例一般提供用于将氧化硅材料选择性地沉积于基板上的含电介质的图案化特征中。
图1是根据一个实施例用于选择性地沉积膜的处理腔室100的示意性截面图。在一个配置中,处理腔室100可包括一个生产者GT(Producer GT)腔室,其可从美国加州圣克拉拉的应用材料公司取得。一般来说,处理腔室100包括两个处理区域118、120。腔室主体102包括侧壁112、内壁114和底壁116,侧壁112、内壁114和底壁116限定处理区域118、120。在各处理区域118、120中的底壁116限定至少两个通道122、124,加热器基座128的杆(stem)126及晶片升举销组件的棒(rod)130穿过该至少两个通道122、124而分别设置。
侧壁112和内壁114限定两个圆柱形环状处理区域118、120。周边泵送通道125形成在限定处理区域118、120的腔室壁中,周边泵送通道125用于将气体自处理区域118、120排出并控制各区域118、120内的压力。各处理区域118、120的泵送通道125优选地经由共享排气通道(未示出)和排出导管(未示出)连接到共享排气泵。各区域优选地经由泵而抽空至选定的压力且所连接的排气系统允许各区域内的压力均等化。操作期间在处理腔室中的压力可介于200Torr至700Torr之间。
各处理区域118、120优选地包括穿过腔室盖104设置的气体分配组件108以将气体传送至处理区域118、120中。各处理区域的气体分配组件108包括气体入口通道140,气体入口通道140将气体传送到喷头组件142中。气源(未示出)连接到气体入口通道140且经配置以传送一或多种前体气体(如TEOS、臭氧、氨)和/或惰性气体(如氮)通过喷头组件142而至处理区域118和120。RF馈通(feedthrough)提供偏压电位给喷头组件142以利于在喷头组件142和加热器基座128之间产生等离子体。
加热器基座128通过杆126而可移动地设置于各处理区域118、120中,杆126连接到支撑板的下侧且延伸通过腔室主体102的底部,杆126在腔室主体102的底部处与驱动系统103连接。杆126在腔室106中向上及向下移动,以移动加热器基座128从而将晶片定位于其上或将晶片自其移除而用于处理。
腔室主体102限定多个垂直气体通道,多个垂直气体通道用于适合所选的处理的各反应气体与清洗气体通过气体分配组件108而在腔室中传送。气体入口连接141设置在腔室106底部以将形成于腔室壁中的气体通道连接至气体入口管线139。气体入口管线139接着连接到气源管线(未示出)且经控制而用于将气体传送至各处理区域118、120。
用于本发明公开的处理腔室100中的真空控制系统可包括与处理腔室100的各区域连通的多个真空泵,其中各区域具有其各自的设定点压力。RF功率输送系统用于通过各气体分配组件108而将RF能量输送到各处理区域118、120。
图2A是根据一个实施例选择性地沉积氧化硅层的方法的框图200。图2B-2D是通过接下来结合图2A所讨论的框而在基板280表面上形成的特征的侧视截面图。在框210,硅晶片经预清洗以准备用于沉积。因为裸露的硅在空气中氧化并形成不希望的天然氧化层,所以预清洗可能在某些情况下是必要的。为了确保良好的结果,晶片表面可被剥离天然氧化层并使用湿法或干法清洗处理而以氢终端表面(hydrogen-terminated surface)取代。
在框220,含硅层282沉积在硅基板280上。含硅层282可包括如氧化硅(SiO2)或氮化硅(SiN)。可通过将硅前体和氧气或氮气或等离子体流入处理腔室来沉积这种含硅层282。
在框230,图案化含硅层,从而导致一或多个特征284形成在含硅介电层282中。图案化处理可包括如施用光致抗蚀剂(photoresist)、暴露及显影(develop)光致抗蚀剂以在含硅层上形成图案、湿法或干法蚀刻含硅层的暴露部分、去除光致抗蚀剂、及清洗图案化的表面(如图2C中的对象281、283和285)而为额外的处理做准备。本领域普通技术人员将会理解图案化处理可根据处理的特定条件和目标而变化。
如果在框220使用硅烷前体形成含硅层282,则所得到的氧化硅层可具有高阶的硅-氢键结。典型的沉积处理可包括传送单硅烷SiH4、分子氧O2和H2的流,但是应该理解可以使用其他前体气体。氧化硅在含硅层282中形成的图案化特征284中的选择性沉积(其在稍后的框执行)至少部分取决于含硅沉积介电层282在其暴露的表面具有很少氢键或无氢键。因此,具有氢终端表面的任何含氧化硅层(如硅烷形成的含硅层)可能需要进一步处理以防止在框250期间选择性沉积的氧化硅膜的沉积生长在这些表面(如特征侧壁和场区域)上。在一些实施例中,进一步的处理可包括在框240期间,将含硅层的图案化表面(其在框220期间形成)暴露于等离子体以取代氢终端表面。例如,在框240处的等离子体处理可包括将含硅层的表面暴露于含NH3或N2的等离子体以将氢键自所形成的含硅层(如SiO2层)去除。
或者,可使用四乙氧基硅烷(TEOS)前体形成框220处沉积的含硅层282以形成介电层,如SiO2或SiN层。相信使用TEOS前体形成的含硅层282将在其表面上具有显著较少的氢键或没有氢键,所以在框240中可选的等离子体处理可以被省略。
在框250,氧化硅层286选择性地沉积在图案化特征284中。通过将TEOS和臭氧(O3)流入处理腔室中而沉积氧化硅层286。沉积处理可以是热处理或等离子体增强处理。可使用沉积处理实施热处理,该沉积处理使用约350-500℃温度范围及20至620Torr压力范围中的臭氧(O3)和TEOS。在等离子体增强处理中,可通过自RF功率供应而施加的RF能量来形成在基板280附近的受控等离子体。四乙氧基硅烷(TEOS)可以约每分钟400毫克至每分钟2克之间的速率流入处理腔室。臭氧可以质量百分比约10%至18%的速率流入处理腔室。
因为特征284的高度可远大于特征284的宽度,所以确保特征284从底部向上填充是很重要的。如果生长发生在特征284的侧壁283上,则可能产生孔隙或缝隙,这导致不太均匀且因此较不可靠的集成电路。例如,图7描绘孔隙350,孔隙350可能起因于特征284的侧壁283上的生长而非简单的自下而上的生长。
已观察到在框250期间所执行的选择性沉积处理能够通过产生特征284的底部281上的生长条件以及特征284的侧壁283上的限制生长条件或没有生长条件来最小化或防止孔隙和缝隙的形成。在本实施例中,特征284(选择性沉积的膜形成于其中)由氧化硅或氮化硅或它们的组合构成。由于框220中形成的图案化介电层的后处理或框220中形成的特定类型的图案化氧化硅层(即,基于TEOS的层)的使用,图案化含硅层的表面将有较少的Si-H键,且因此提供少量用于促进开始生长选择性沉积层的成核点。相比之下,特征284(图2D)的底部281(其可以被看作是沉积表面)可由硅构成,其具有高度的Si-H键,且因此提供许多成核点以促进生长。因此,在框250中,TEOS与臭氧处理使得沉积优先发生于特征284的底部281上,其中基板280由硅构成。TEOS和臭氧形成的选择性沉积氧化硅层286(图2D)没有很好地附着于特征284的侧壁283,特征284的侧壁283由氮化硅、氧化硅或它们的组合构成。因此,使用TEOS和臭氧选择性地沉积的氧化硅层286优先形成并附着于硅基板280,从而得到氧化硅层由下而上的均匀生长而没有至侧壁283的显著生长或附着。此处理导致孔隙最小化。
在其表面具有Si-H键的基板将提供成核点,其允许在基板表面上的均匀或共形(conformal)的生长。没有Si-H键促进生长,这些类型的表面上的选择性沉积层的任何生长将会是不均匀的或是岛状的(island-like)。因为生长优先发生在基板280上,所以生长在基板280表面上的图案化特征284的底部281(即沉积表面)处开始。如此以最小的孔隙产生氧化硅层286的均匀生长。通过比较,框250中公开的处理所形成的氧化硅没有均匀地沉积,例如,在氮化硅表面上或传统热氧化硅层的表面上没有均匀地沉积。如此一来,氧化硅层286自图案化特征284的底部281选择性地生长,从而通过使用自下而上的填充处理来减少孔隙。
在一些实施例中,在框250期间形成的氧化硅层286可能不希望地黏附于和生长于特征284(如沟槽)的侧壁283上。为了解决这个问题,在框260,可使用稀释的氢氟酸(DHF)来可选地蚀刻选择性沉积的氧化硅层以移除侧壁283与场区域(field region)285上的低质量且薄的形成层。在框260中的此可选蚀刻之后,基板可再次经受框250的选择性沉积处理。可以周期性地重复这两个框以改善(例如)氮化物盖帽(nitride-capped)特征中的选择性,如下所讨论的。
在框250的氧化硅层的选择性沉积及框260的可选周期性沉积和蚀刻之后,在框270,基板可以可选地进行退火,例如使用灯或其他热源进行热处理。在退火框270期间,基板中的温度可以是在300摄氏度与480摄氏度之间。可通过干法蚀刻或湿法蚀刻来可选地蚀刻基板。
氧化硅的选择性沉积的一个优点是在框250期间形成的膜的湿法蚀刻速率的相对改善。一般来说,较高的湿法蚀刻速率可表示介电材料的较低密度和/或较高孔隙率。因此,相较于Si表面上的SiN,Si表面上的SiOx的远低得多的湿法蚀刻速率彰显了SiO层的均匀性提高以及因此更高的质量。图3A和3B表示蚀刻选择性沉积的氧化硅层的效用。在图3A中,含硅层310沉积在基板300上,如在图2A的框220中。图案化含硅层310以形成特征320,如在图2A的框230中。接着,提供氮化硅帽部330于图案化的含硅层310上。对于此框的处理在下面有更详细的讨论。接着,氧化硅层340选择性地沉积在图案化的含硅层310的特征320中,如在图2A的框250中。如所讨论的,由于氧化硅在硅基板上的选择性的优先生长并形成层,形成在特征320中的氧化硅层340是一种高质量层。在图3B中,接着蚀刻膜,其可通过暴露于100:1的DHF来实现。由于来自氮化硅帽部330的氧化硅层340的低劣的质量与低密度,故DHF优先蚀刻来自氮化硅帽部330的氧化硅层340,同时邻近含硅层310驻留在特征320中的氧化硅层340由于其改良的材料性质而保持完整。
图4是比较硅基板上湿法蚀刻速率与氮化硅基板上湿法蚀刻速率的直方图。图4假定100:1DHF的湿法蚀刻条件。如图4所示,SiN的DHF湿法蚀刻速度是Si的DHF湿法蚀刻速率的两倍多。因此,相较于Si表面上的SiN,Si表面上的SiO的远低得多的湿法蚀刻速率彰显了SiO层的均匀性提高以及因此更高的质量。换言之,相对于在氮化膜或未准备的氧化膜上形成的选择性生长的膜的部分,选择性生长的膜层的膜质量较高。
图5A-5D表示根据一个实施例的用于针对氧化硅的选择性沉积定向处理膜的方法,用以形成氮化硅帽部330于图案化的含硅层310的顶部上。氮化硅帽部330的优点是在结合框250所述的处理期间防止SiO沉积在特征320的侧壁上,从而得到孔隙最小化的增强的自下而上的特征填充以及生成的膜的改进的质量。一种用于沉积氮化硅帽部330的方法是通过用含氮化物等离子体来定向处理基板。这样的含氮化物等离子体的示例可以是NH3或N2。图5A表示执行氮化处理前的图案化基板。
在图5B中,在图案化的含硅层310处从一角度引导等离子体510。图案化的含硅层310遮挡相邻特征的下部部份以免定向等离子体处理的影响,从而形成遮蔽效应(shadowing effect)。因此,只有各特征320的顶部部分暴露于定向等离子体处理。
在图5C中,在图案化的含硅层310处从另一角度引导等离子体510或基板相对于撞击束旋转以确保均匀的氮化处理在基板的表面上进行。
在图5D中,因此定向等离子体处理产生具有特征320的含硅层310,其中图案化的含硅层320的顶部部分被氮化。因为硅基板上氧化硅的优先生长,而不是氮化硅的优先生长,所以当定向处理过的基板暴露于框250所述的前体气体时,产生的氧化硅膜在硅基板的表面处开始优先生长以填充特征。这种自下而上生长的优先生长防止来自侧壁的生长并以在特征320中的最小孔隙来产生更高质量的膜。
在一些实施例中,为了实现期望的优先生长,氮化物帽部330的表面积可形成于基板310上,使得其总计为特征320的总表面积的至少约三分之一。图6是根据一个实施例用于选择性沉积的具有图案化的含硅层310与特征320的基板300的示意性截面图,其中氮化物帽部330具有总计为特征320的表面积的至少约三分之一的表面积。
本发明公开的实施例提供通过在次大气压下使用TEOS和臭氧选择性沉积氧化硅膜来优先自下而上生长氧化硅。通过这种工艺沉积的氧化膜生长在裸硅表面上,并显示在氮化硅和热氧化硅表面上没有生长/岛状生长。因为硅基板上氧化硅的优先生长,而不是氮化硅的优先生长,所以当定向处理过的基板暴露于前体气体时,产生的氧化硅膜在硅基板的表面处开始优先生长以填充特征。这种自下而上生长的优先生长防止来自侧壁的生长并以在特征中的最小孔隙来产生更高质量的膜。
虽然前面是针对本发明公开的实施例,但在不背离本发明基本范围的情况下,可设计本发明公开的其他与进一步的实施例,且本发明的范围由随后的权利要求来确定。

Claims (14)

  1. 一种用于在基板上选择性地形成氧化硅层的方法,包括以下步骤:
    将氧化硅层选择性地沉积于在基板的表面上形成的图案化特征中,其中
    所述图案化特征包括沉积表面以及一或多个侧壁,
    所述一或多个侧壁包含氧化硅、氮化硅、或它们的组合,
    所述沉积表面本质上由硅构成,以及
    选择性沉积的氧化硅层通过使四乙氧基硅烷(TEOS)和臭氧在所述图案化特征上流动 而形成,以及
    其中所述氧化硅层选择性地沉积在所述基板的所述沉积表面上。
    2.如权利要求1所述的方法,进一步包括以下步骤:
    在将氧化硅层选择性地沉积于所述图案化特征中之后,将所述选择性沉积的氧化硅层退火。
  2. 3.如权利要求2所述的方法,进一步包括以下步骤:
    在将所述选择性沉积的氧化硅层退火之后,湿法蚀刻所述氧化硅层。
  3. 4.如权利要求1所述的方法,其中所述臭氧以质量百分比10%至18%的速率流入所述处理腔室。
  4. 5.如权利要求1所述的方法,其中在操作期间所述处理腔室中的压力为200Torr至700Torr之间。
  5. 6.如权利要求1所述的方法,进一步包括以下步骤:
    在将氧化硅层选择性地沉积于图案化特征中之前,使含氮等离子体在所述图案化特征上流动。
  6. 7.如权利要求6所述的方法,其中使含氮等离子体在所述图案化特征上流动的步骤包括以下步骤:用含氮等离子体定向处理所述图案化特征。
  7. 8.一种用于在基板上选择性地形成氧化硅层的方法,包括以下步骤:
    将氧化硅层选择性地沉积于在基板的表面上形成的图案化特征中,其中
    所述图案化特征包括沉积表面以及一或多个侧壁,
    所述一或多个侧壁包含氧化硅、氮化硅、或它们的组合,
    所述沉积表面本质上由硅构成,以及
    选择性沉积的氧化硅层通过以下方式而形成:
    使四乙氧基硅烷(TEOS)和臭氧在所述图案化特征上流动,其中所述氧化硅层选择性地沉积在所述基板的所述沉积表面上;
    蚀刻所述选择性沉积的氧化硅层;及
    重复使四乙氧基硅烷(TEOS)和臭氧在所述图案化特征上流动及蚀刻所述选择性沉积的氧化硅层的步骤一或更多次。
  8. 9.如权利要求8所述的方法,进一步包括以下步骤:
    在将氧化硅层选择性地沉积于在所述基板的表面上形成的图案化特征中之后,将所述选择性沉积的氧化硅层退火。
  9. 10.如权利要求8所述的方法,进一步包括以下步骤:
    在将所述选择性沉积的氧化硅层退火之后,湿法蚀刻所述氧化硅层。
  10. 11.如权利要求8所述的方法,其中所述臭氧以质量百分比10%至18%的速率流入所述处理腔室。
  11. 12.如权利要求8所述的方法,其中在操作期间所述处理腔室中的压力为200Torr至700Torr之间。
  12. 13.如权利要求8所述的方法,进一步包括以下步骤:
    在将氧化硅层选择性地沉积于在基板的表面上形成的图案化特征中之前,使含氮等离子体在所述图案化特征上流动。
  13. 14.如权利要求13所述的方法,其中使含氮等离子体在所述图案化特征上流动的步骤包括以下步骤:用含氮等离子体定向处理所述图案化特征。
  14. 15.一种用于在基板上选择性地形成氧化硅层的方法,包括以下步骤:
    将氧化硅层选择性地沉积于在基板的表面上形成的图案化特征中,其中
    所述图案化特征包括沉积表面以及一或多个侧壁,其中所述侧壁中的每一个侧壁具有基部和帽部,
    所述一或多个侧壁的所述帽部包含氮化硅,
    所述帽部的表面积包含所述侧壁的表面积的至少三分之一,
    所述沉积表面本质上由硅构成,以及
    选择性沉积的氧化硅层通过使四乙氧基硅烷(TEOS)和臭氧在所述图案化特征上流动而形成,其中所述氧化硅层选择性地沉积在所述基板的所述沉积表面上;以及
    在将氧化硅层选择性地沉积于在所述基板的表面上形成的图案化特征中之后,将所述选择性沉积的氧化硅层退火。
CN201680028403.4A 2015-06-26 2016-06-01 氧化硅膜的选择性沉积 Active CN107660307B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202111288200.8A CN114121605A (zh) 2015-06-26 2016-06-01 氧化硅膜的选择性沉积

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562185388P 2015-06-26 2015-06-26
US62/185,388 2015-06-26
PCT/US2016/035302 WO2016209570A1 (en) 2015-06-26 2016-06-01 Selective deposition of silicon oxide films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202111288200.8A Division CN114121605A (zh) 2015-06-26 2016-06-01 氧化硅膜的选择性沉积

Publications (2)

Publication Number Publication Date
CN107660307A true CN107660307A (zh) 2018-02-02
CN107660307B CN107660307B (zh) 2021-11-05

Family

ID=57586127

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201680028403.4A Active CN107660307B (zh) 2015-06-26 2016-06-01 氧化硅膜的选择性沉积
CN202111288200.8A Pending CN114121605A (zh) 2015-06-26 2016-06-01 氧化硅膜的选择性沉积

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202111288200.8A Pending CN114121605A (zh) 2015-06-26 2016-06-01 氧化硅膜的选择性沉积

Country Status (6)

Country Link
US (1) US10176980B2 (zh)
JP (1) JP6920219B2 (zh)
KR (1) KR102377376B1 (zh)
CN (2) CN107660307B (zh)
TW (1) TWI716414B (zh)
WO (1) WO2016209570A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10176984B2 (en) * 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) * 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
JP7203515B2 (ja) 2017-06-06 2023-01-13 アプライド マテリアルズ インコーポレイテッド 連続した堆積-エッチング-処理方法を使用した酸化ケイ素及び窒化ケイ素のボトムアップ成長
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US10354859B1 (en) * 2018-03-14 2019-07-16 Sandisk Technologies Llc Three-dimensional resistive random access memory device containing selectively grown amorphous silicon-containing barrier and method of making the same
US11978625B2 (en) 2021-10-18 2024-05-07 Applied Materials, Inc. Methods of forming metal nitride films

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040018676A1 (en) * 2001-03-23 2004-01-29 Park Tai-Su Semiconductor device having a trench isolation structure and method for fabricating the same
US20110070720A1 (en) * 2009-09-18 2011-03-24 Elpida Memory, Inc. Method of manufacturing semiconductor device
CN102479742A (zh) * 2010-11-30 2012-05-30 中国科学院微电子研究所 用于集成电路的衬底及其形成方法
CN103839868A (zh) * 2014-02-21 2014-06-04 上海华力微电子有限公司 浅沟槽隔离结构的制作方法
US8853019B1 (en) * 2013-03-13 2014-10-07 Globalfoundries Inc. Methods of forming a semiconductor device with a nanowire channel structure by performing an anneal process
CN104091780A (zh) * 2014-07-25 2014-10-08 上海华力微电子有限公司 自对准sti的形成方法
US8912612B2 (en) * 2013-02-25 2014-12-16 International Business Machines Corporation Silicon nitride gate encapsulation by implantation

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08213382A (ja) * 1995-02-02 1996-08-20 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH11307625A (ja) * 1998-04-24 1999-11-05 Hitachi Ltd 半導体装置およびその製造方法
US6413826B2 (en) * 1999-04-07 2002-07-02 Vantis Corporation Gate insulator process for nanometer MOSFETS
US6235354B1 (en) * 1999-11-01 2001-05-22 United Microelectronics Corp. Method of forming a level silicon oxide layer on two regions of different heights on a semiconductor wafer
US6429092B1 (en) 2000-06-19 2002-08-06 Infineon Technologies Ag Collar formation by selective oxide deposition
US6541401B1 (en) * 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
US6444528B1 (en) 2000-08-16 2002-09-03 Fairchild Semiconductor Corporation Selective oxide deposition in the bottom of a trench
US6503851B2 (en) 2000-08-31 2003-01-07 Micron Technology, Inc. Use of linear injectors to deposit uniform selective ozone TEOS oxide film by pulsing reactants on and off
US6368986B1 (en) 2000-08-31 2002-04-09 Micron Technology, Inc. Use of selective ozone TEOS oxide to create variable thickness layers and spacers
US6566227B2 (en) * 2001-08-13 2003-05-20 Infineon Technologies Ag Strap resistance using selective oxidation to cap DT poly before STI etch
US7625603B2 (en) * 2003-11-14 2009-12-01 Robert Bosch Gmbh Crack and residue free conformal deposited silicon oxide with predictable and uniform etching characteristics
US7790633B1 (en) * 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080299775A1 (en) * 2007-06-04 2008-12-04 Applied Materials, Inc. Gapfill extension of hdp-cvd integrated process modulation sio2 process
US7745350B2 (en) * 2007-09-07 2010-06-29 Applied Materials, Inc. Impurity control in HDP-CVD DEP/ETCH/DEP processes
US8012887B2 (en) * 2008-12-18 2011-09-06 Applied Materials, Inc. Precursor addition to silicon oxide CVD for improved low temperature gapfill
JP2012028420A (ja) * 2010-07-20 2012-02-09 Toshiba Corp 半導体装置およびその製造方法
WO2013048872A1 (en) * 2011-09-26 2013-04-04 Applied Materials, Inc. Pretreatment and improved dielectric coverage

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040018676A1 (en) * 2001-03-23 2004-01-29 Park Tai-Su Semiconductor device having a trench isolation structure and method for fabricating the same
US20110070720A1 (en) * 2009-09-18 2011-03-24 Elpida Memory, Inc. Method of manufacturing semiconductor device
CN102479742A (zh) * 2010-11-30 2012-05-30 中国科学院微电子研究所 用于集成电路的衬底及其形成方法
US8912612B2 (en) * 2013-02-25 2014-12-16 International Business Machines Corporation Silicon nitride gate encapsulation by implantation
US8853019B1 (en) * 2013-03-13 2014-10-07 Globalfoundries Inc. Methods of forming a semiconductor device with a nanowire channel structure by performing an anneal process
CN103839868A (zh) * 2014-02-21 2014-06-04 上海华力微电子有限公司 浅沟槽隔离结构的制作方法
CN104091780A (zh) * 2014-07-25 2014-10-08 上海华力微电子有限公司 自对准sti的形成方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
KAP-DUK SONG: "NO x gas response characteristics of thin film mixed oxide semiconductor", 《 SENSORS & ACTUATORS: B. CHEMICAL》 *
王新柱等: "深亚微米隔离技术――浅沟槽隔离工艺 ", 《半导体学报》 *

Also Published As

Publication number Publication date
US20170004974A1 (en) 2017-01-05
TWI716414B (zh) 2021-01-21
JP6920219B2 (ja) 2021-08-18
US10176980B2 (en) 2019-01-08
WO2016209570A1 (en) 2016-12-29
CN114121605A (zh) 2022-03-01
KR102377376B1 (ko) 2022-03-21
JP2018524814A (ja) 2018-08-30
CN107660307B (zh) 2021-11-05
KR20180014204A (ko) 2018-02-07
TW201710539A (zh) 2017-03-16

Similar Documents

Publication Publication Date Title
CN107660307A (zh) 氧化硅膜的选择性沉积
JP5544343B2 (ja) 成膜装置
US7718553B2 (en) Method for forming insulation film having high density
JP4279176B2 (ja) シリコン窒化膜の形成方法
US10283405B2 (en) Method and apparatus for forming silicon film and storage medium
JP6688588B2 (ja) 流動性膜の硬化浸透深度の改善及び応力調整
JP5158068B2 (ja) 縦型熱処理装置及び熱処理方法
JP4978355B2 (ja) 成膜装置及びそのコーティング方法
JP2007521658A (ja) リンでドープした二酸化ケイ素含有層の形成方法及び集積回路の作製におけるトレンチ分離の形成方法
JP4624207B2 (ja) 成膜方法及び成膜装置
CN105006502A (zh) 制造半导体器件的方法和维护沉积设备的方法
US20160099167A1 (en) Air-gap structure formation with ultra low-k dielectric layer on pecvd low-k chamber
JP5864668B2 (ja) 凹状部分を有した被処理体上へのシリコン膜の成膜方法
KR100497474B1 (ko) 반도체소자의 게이트전극 형성방법
CN112740364A (zh) 半导体装置的制造方法、基板处理装置和记录介质
TW202018802A (zh) 3d nand結構中的矽氮化物蝕刻及氧化矽沉積控制
JP4423282B2 (ja) 半導体装置の製造方法
CN103943560A (zh) 一种形成低介电常数薄膜及其缓冲层的成膜方法
JP2004165533A (ja) 半導体装置の製造方法
CN112820636A (zh) 半导体结构、自支撑氮化镓层及其制备方法
JP3068522B2 (ja) 半導体装置の製造方法
KR100500931B1 (ko) 반도체 소자의 측정용 파티클 제조방법
JPH08172090A (ja) 半導体装置の製造方法
JPH0590250A (ja) ウエーハ処理方法
CN1933107A (zh) 多步骤低温间隔层制作方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant