TWI690097B - 乾電漿蝕刻方法以使磁性隨機存取記憶體堆疊圖案化 - Google Patents

乾電漿蝕刻方法以使磁性隨機存取記憶體堆疊圖案化 Download PDF

Info

Publication number
TWI690097B
TWI690097B TW105111956A TW105111956A TWI690097B TW I690097 B TWI690097 B TW I690097B TW 105111956 A TW105111956 A TW 105111956A TW 105111956 A TW105111956 A TW 105111956A TW I690097 B TWI690097 B TW I690097B
Authority
TW
Taiwan
Prior art keywords
substrate
layer
layers
etching
halogen
Prior art date
Application number
TW105111956A
Other languages
English (en)
Chinese (zh)
Other versions
TW201709573A (zh
Inventor
暹華 陳
金台昇
楊文兵
傑弗瑞 馬克思
托爾斯滕 立爾
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201709573A publication Critical patent/TW201709573A/zh
Application granted granted Critical
Publication of TWI690097B publication Critical patent/TWI690097B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N35/00Magnetostrictive devices
    • H10N35/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/10Magnetoresistive devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • H10N50/85Materials of the active region

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Hall/Mr Elements (AREA)
  • Mram Or Spin Memory Techniques (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)
TW105111956A 2015-04-20 2016-04-18 乾電漿蝕刻方法以使磁性隨機存取記憶體堆疊圖案化 TWI690097B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562150053P 2015-04-20 2015-04-20
US62/150,053 2015-04-20
US14/749,291 US9806252B2 (en) 2015-04-20 2015-06-24 Dry plasma etch method to pattern MRAM stack
US14/749,291 2015-06-24

Publications (2)

Publication Number Publication Date
TW201709573A TW201709573A (zh) 2017-03-01
TWI690097B true TWI690097B (zh) 2020-04-01

Family

ID=57130027

Family Applications (2)

Application Number Title Priority Date Filing Date
TW105111956A TWI690097B (zh) 2015-04-20 2016-04-18 乾電漿蝕刻方法以使磁性隨機存取記憶體堆疊圖案化
TW109105630A TWI762876B (zh) 2015-04-20 2016-04-18 乾電漿蝕刻方法以使磁性隨機存取記憶體堆疊圖案化

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW109105630A TWI762876B (zh) 2015-04-20 2016-04-18 乾電漿蝕刻方法以使磁性隨機存取記憶體堆疊圖案化

Country Status (6)

Country Link
US (3) US9806252B2 (enExample)
JP (1) JP6804864B2 (enExample)
KR (2) KR102515411B1 (enExample)
CN (3) CN110379918B (enExample)
SG (1) SG10201603090VA (enExample)
TW (2) TWI690097B (enExample)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI773086B (zh) * 2020-11-17 2022-08-01 大陸商長江存儲科技有限責任公司 用於形成立體(3d)記憶體元件的方法
US11488977B2 (en) 2020-04-14 2022-11-01 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12444651B2 (en) 2009-08-04 2025-10-14 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
SG10201604524PA (en) 2015-06-05 2017-01-27 Lam Res Corp ATOMIC LAYER ETCHING OF GaN AND OTHER III-V MATERIALS
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) * 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US9779955B2 (en) * 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
KR20170122910A (ko) * 2016-04-27 2017-11-07 성균관대학교산학협력단 원자층 식각방법
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9837312B1 (en) * 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
CN110050331B (zh) * 2016-12-09 2023-07-25 Asm Ip 控股有限公司 热原子层蚀刻工艺
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US9917137B1 (en) * 2017-01-11 2018-03-13 International Business Machines Corporation Integrated magnetic tunnel junction (MTJ) in back end of line (BEOL) interconnects
JP6969752B2 (ja) * 2017-01-24 2021-11-24 国立大学法人東北大学 トンネル磁気抵抗素子の製造方法
KR102722138B1 (ko) * 2017-02-13 2024-10-24 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10546748B2 (en) * 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US11062897B2 (en) 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication
US10651372B2 (en) * 2017-06-13 2020-05-12 Tokyo Electron Limited Process for patterning a magnetic tunnel junction
US10263179B2 (en) * 2017-07-18 2019-04-16 Nxp B.V. Method of forming tunnel magnetoresistance (TMR) elements and TMR sensor element
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
KR102368033B1 (ko) 2017-09-20 2022-02-25 삼성전자주식회사 자기 저항 메모리 소자의 제조 방법
JP2019057636A (ja) 2017-09-21 2019-04-11 東芝メモリ株式会社 磁気記憶装置
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10038138B1 (en) * 2017-10-10 2018-07-31 Headway Technologies, Inc. High temperature volatilization of sidewall materials from patterned magnetic tunnel junctions
US20190131130A1 (en) * 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition
JP6833657B2 (ja) * 2017-11-07 2021-02-24 東京エレクトロン株式会社 基板をプラズマエッチングする方法
US10978351B2 (en) 2017-11-17 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer between substrate and isolation structure
US10734238B2 (en) * 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
TWI688130B (zh) 2017-11-28 2020-03-11 財團法人工業技術研究院 自旋軌道磁性記憶體及其製造方法
US10957779B2 (en) * 2017-11-30 2021-03-23 Taiwan Semiconductor Manufacturing Co., Ltd. Gate etch back with reduced loading effect
US10840436B2 (en) * 2017-12-29 2020-11-17 Spin Memory, Inc. Perpendicular magnetic anisotropy interface tunnel junction devices and methods of manufacture
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
CN110098320B (zh) * 2018-01-30 2023-04-28 上海磁宇信息科技有限公司 一种刻蚀磁性隧道结导电硬掩模的方法
JP7025952B2 (ja) * 2018-02-16 2022-02-25 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置
EP3776636B1 (en) 2018-03-30 2025-05-07 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
KR102735902B1 (ko) 2018-06-13 2024-11-28 램 리써치 코포레이션 고 종횡비 구조체들의 효율적인 세정 및 에칭
US10680169B2 (en) * 2018-06-13 2020-06-09 International Business Machines Corporation Multilayer hardmask for high performance MRAM devices
US10741748B2 (en) 2018-06-25 2020-08-11 International Business Machines Corporation Back end of line metallization structures
US10720487B2 (en) * 2018-06-28 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with magnetic element
US10692759B2 (en) * 2018-07-17 2020-06-23 Applied Materials, Inc. Methods for manufacturing an interconnect structure for semiconductor devices
TWI812762B (zh) * 2018-07-30 2023-08-21 日商東京威力科創股份有限公司 處理被處理體之方法、處理裝置及處理系統
US10879451B2 (en) * 2018-08-14 2020-12-29 Samsung Electronics Co., Ltd. Magnetic tunnel junction device and magnetic resistance memory device
CA3109866A1 (en) * 2018-08-29 2020-03-05 Quantum-Si Incorporated Sample well fabrication techniques and structures for integrated sensor devices
US10886461B2 (en) 2018-09-18 2021-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Highly physical etch resistive photoresist mask to define large height sub 30nm via and metal hard mask for MRAM devices
US10763429B2 (en) 2018-10-12 2020-09-01 International Business Machines Corporation Self-aligned ion beam etch sputter mask for magnetoresistive random access memory
US10714681B2 (en) * 2018-10-19 2020-07-14 International Business Machines Corporation Embedded magnetic tunnel junction pillar having reduced height and uniform contact area
US10868239B2 (en) * 2018-10-25 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient protection layer in MTJ manufacturing
US11002063B2 (en) * 2018-10-26 2021-05-11 Graffiti Shield, Inc. Anti-graffiti laminate with visual indicia
US10971684B2 (en) * 2018-10-30 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Intercalated metal/dielectric structure for nonvolatile memory devices
CN111162005A (zh) 2018-11-08 2020-05-15 江苏鲁汶仪器有限公司 多层磁性隧道结刻蚀方法和mram器件
US11043251B2 (en) 2018-11-30 2021-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Magnetic tunnel junction device and method of forming same
US10497858B1 (en) * 2018-12-21 2019-12-03 Applied Materials, Inc. Methods for forming structures for MRAM applications
US11056643B2 (en) * 2019-01-03 2021-07-06 International Business Machines Corporation Magnetic tunnel junction (MTJ) hard mask encapsulation to prevent redeposition
US11121311B2 (en) * 2019-01-24 2021-09-14 International Business Machines Corporation MTJ containing device encapsulation to prevent shorting
KR102811847B1 (ko) * 2019-02-01 2025-05-22 램 리써치 코포레이션 가스 처리 및 펄싱을 사용한 이온 빔 에칭
CN113519071B (zh) * 2019-02-28 2025-04-22 朗姆研究公司 利用侧壁清洁的离子束蚀刻
US10707413B1 (en) 2019-03-28 2020-07-07 International Business Machines Corporation Formation of embedded magnetic random-access memory devices
US11744083B2 (en) 2019-04-12 2023-08-29 International Business Machines Corporation Fabrication of embedded memory devices utilizing a self assembled monolayer
US20200343043A1 (en) * 2019-04-29 2020-10-29 Spin Memory, Inc. Method for manufacturing a self-aligned magnetic memory element with ru hard mask
US10685849B1 (en) 2019-05-01 2020-06-16 Applied Materials, Inc. Damage free metal conductor formation
US10833258B1 (en) 2019-05-02 2020-11-10 International Business Machines Corporation MRAM device formation with in-situ encapsulation
JP2020191320A (ja) * 2019-05-20 2020-11-26 東京エレクトロン株式会社 基板製造方法、及び、処理システム
JP7737789B2 (ja) 2019-07-18 2025-09-11 エーエスエム・アイピー・ホールディング・ベー・フェー 半導体処理システム用シャワーヘッドデバイス
JP7602530B2 (ja) * 2019-07-31 2024-12-18 ラム リサーチ コーポレーション Mramパターニングのための不揮発性材料の化学エッチング
US12080562B2 (en) * 2019-09-17 2024-09-03 Lam Research Corporation Atomic layer etch and ion beam etch patterning
CN112563412B (zh) * 2019-09-25 2023-06-23 浙江驰拓科技有限公司 磁性隧道结刻蚀方法
JP2022550057A (ja) * 2019-10-01 2022-11-30 ラム リサーチ コーポレーション 高アスペクト比フィーチャの製造中に劣化を防止するためのマスク封入
US11177431B2 (en) 2019-12-02 2021-11-16 HeFeChip Corporation Limited Magnetic memory device and method for manufacturing the same
US11217744B2 (en) * 2019-12-10 2022-01-04 HeFeChip Corporation Limited Magnetic memory device with multiple sidewall spacers covering sidewall of MTJ element and method for manufacturing the same
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
TWI893134B (zh) * 2020-06-19 2025-08-11 日商東京威力科創股份有限公司 蝕刻方法、基板處理裝置及基板處理系統
US11961719B2 (en) * 2020-06-25 2024-04-16 Hitachi High-Tech Corporation Vacuum processing method
US11514962B2 (en) * 2020-11-12 2022-11-29 International Business Machines Corporation Two-bit magnetoresistive random-access memory cell
US12131914B2 (en) 2020-12-17 2024-10-29 Tokyo Electron Limited Selective etching with fluorine, oxygen and noble gas containing plasmas
US20240021435A1 (en) * 2021-01-15 2024-01-18 Lam Research Corporation Metal etch
JP7739434B2 (ja) 2021-02-03 2025-09-16 ラム リサーチ コーポレーション 原子層エッチングにおけるエッチング選択性の制御
US11894228B2 (en) 2021-08-26 2024-02-06 Applied Materials, Inc. Treatments for controlling deposition defects
JP2024533108A (ja) * 2021-09-07 2024-09-12 ラム リサーチ コーポレーション 三塩化ホウ素を使用した原子層エッチング
US12389803B2 (en) 2021-11-24 2025-08-12 International Business Machines Corporation Magnetoresistive random-access memory (MRAM) with preserved underlying dielectric layer
CN116615087A (zh) * 2022-02-07 2023-08-18 北京超弦存储器研究院 一种磁性隧道结及其制备方法
US20240371655A1 (en) * 2023-05-04 2024-11-07 Tokyo Electron Limited Protection Layer Formation during Plasma Etching Conductive Materials

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010053585A1 (en) * 2000-05-09 2001-12-20 M-Fsi Ltd. Cleaning process for substrate surface
US20040137749A1 (en) * 2003-01-13 2004-07-15 Applied Materials, Inc. Method for removing conductive residue
US20060152967A1 (en) * 2005-01-12 2006-07-13 Nickel Janice H Hardmasks for providing thermally assisted switching of magnetic memory elements
US20060194435A1 (en) * 2005-02-14 2006-08-31 Tokyo Electron Limited Method of processing substrate, and method of and program for manufacturing electronic device

Family Cites Families (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH061769B2 (ja) 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
US5298451A (en) 1991-04-30 1994-03-29 Texas Instruments Incorporated Recessed and sidewall-sealed poly-buffered LOCOS isolation methods
US5282925A (en) 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
JPH06151382A (ja) 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JPH06326060A (ja) 1993-05-12 1994-11-25 Hitachi Ltd 固体表面加工方法
US6022806A (en) 1994-03-15 2000-02-08 Kabushiki Kaisha Toshiba Method of forming a film in recess by vapor phase growth
US6124211A (en) * 1994-06-14 2000-09-26 Fsi International, Inc. Cleaning method
US6083413A (en) * 1995-10-19 2000-07-04 Massachusetts Institute Of Technology Metals removal process
US5766971A (en) 1996-12-13 1998-06-16 International Business Machines Corporation Oxide strip that improves planarity
KR20010034127A (ko) 1998-01-13 2001-04-25 조셉 제이. 스위니 이방성 플라티늄 프로화일을 위한 에칭 방법
US6177353B1 (en) 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
KR20020030108A (ko) * 1999-09-27 2002-04-22 추후제출 금속 함유층의 이방성 에칭용 탄화수소 가스
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6458694B2 (en) 2000-01-24 2002-10-01 Ebara Corporation High energy sputtering method for forming interconnects
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US20020058409A1 (en) 2000-11-16 2002-05-16 Ching-Te Lin Elimination of overhang in liner/barrier/seed layers using post-deposition sputter etch
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
EP1384257A2 (en) 2001-05-04 2004-01-28 Tokyo Electron Limited Ionized pvd with sequential deposition and etching
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US7115516B2 (en) 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
US7553427B2 (en) 2002-05-14 2009-06-30 Tokyo Electron Limited Plasma etching of Cu-containing layers
US6884730B2 (en) 2002-07-02 2005-04-26 Headway Technologies, Inc. Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
US6841484B2 (en) * 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
JP2004332045A (ja) * 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
US7341946B2 (en) 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7829152B2 (en) 2006-10-05 2010-11-09 Lam Research Corporation Electroless plating method and apparatus
US7115522B2 (en) 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
CN100576474C (zh) 2004-07-20 2009-12-30 应用材料股份有限公司 以钽前驱物taimata进行含钽材料的原子层沉积
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7214626B2 (en) * 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
US7795148B2 (en) * 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US20070238301A1 (en) * 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7368393B2 (en) * 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US20080265243A1 (en) * 2007-04-30 2008-10-30 Ahn Kie Y Magnetic floating gate flash memory structures
KR101330707B1 (ko) * 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
KR100905278B1 (ko) 2007-07-19 2009-06-29 주식회사 아이피에스 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
US8247030B2 (en) 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US7948044B2 (en) * 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en) * 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US8227344B2 (en) 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
US9373500B2 (en) * 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
JP2012038815A (ja) * 2010-08-04 2012-02-23 Toshiba Corp 磁気抵抗素子の製造方法
JP5416280B2 (ja) 2010-08-19 2014-02-12 株式会社アルバック ドライエッチング方法及び半導体装置の製造方法
US8546263B2 (en) * 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130129922A1 (en) 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
JP2013197524A (ja) * 2012-03-22 2013-09-30 Toshiba Corp 磁気抵抗効果素子の製造方法
JP2014049466A (ja) 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
JP5918108B2 (ja) * 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US20140349469A1 (en) 2013-05-22 2014-11-27 Qualcomm Mems Technologies, Inc. Processing for electromechanical systems and equipment for same
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9564582B2 (en) * 2014-03-07 2017-02-07 Applied Materials, Inc. Method of forming magnetic tunneling junctions
US9257638B2 (en) 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
TWI593015B (zh) 2014-07-10 2017-07-21 東京威力科創股份有限公司 基板之高精度蝕刻方法
FR3023971B1 (fr) 2014-07-18 2016-08-05 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9362131B2 (en) 2014-08-29 2016-06-07 Applied Materials, Inc. Fast atomic layer etch process using an electron beam
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
JP2018500767A (ja) 2014-12-18 2018-01-11 ザ リージェンツ オブ ザ ユニバーシティ オブ コロラド,ア ボディー コーポレイトTHE REGENTS OF THE UNIVERSITY OF COLORADO,a body corporate 逐次的な自己制御熱反応を使用する原子層エッチング(ale)の新規の方法
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
SG10201604524PA (en) 2015-06-05 2017-01-27 Lam Res Corp ATOMIC LAYER ETCHING OF GaN AND OTHER III-V MATERIALS
US9449843B1 (en) * 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
KR102451098B1 (ko) 2015-09-23 2022-10-05 삼성전자주식회사 자기 메모리 장치 및 이의 제조 방법
KR20170050056A (ko) 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010053585A1 (en) * 2000-05-09 2001-12-20 M-Fsi Ltd. Cleaning process for substrate surface
US20040137749A1 (en) * 2003-01-13 2004-07-15 Applied Materials, Inc. Method for removing conductive residue
US20060152967A1 (en) * 2005-01-12 2006-07-13 Nickel Janice H Hardmasks for providing thermally assisted switching of magnetic memory elements
US20060194435A1 (en) * 2005-02-14 2006-08-31 Tokyo Electron Limited Method of processing substrate, and method of and program for manufacturing electronic device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11488977B2 (en) 2020-04-14 2022-11-01 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
TWI773086B (zh) * 2020-11-17 2022-08-01 大陸商長江存儲科技有限責任公司 用於形成立體(3d)記憶體元件的方法

Also Published As

Publication number Publication date
KR102515411B1 (ko) 2023-03-28
CN106067513A (zh) 2016-11-02
CN110379918A (zh) 2019-10-25
CN106067513B (zh) 2019-07-09
US9806252B2 (en) 2017-10-31
KR102648476B1 (ko) 2024-03-15
US20160308112A1 (en) 2016-10-20
US10374144B2 (en) 2019-08-06
US20190312194A1 (en) 2019-10-10
KR20230044167A (ko) 2023-04-03
TWI762876B (zh) 2022-05-01
US10749103B2 (en) 2020-08-18
JP2016208031A (ja) 2016-12-08
CN109346393A (zh) 2019-02-15
TW202025525A (zh) 2020-07-01
JP6804864B2 (ja) 2020-12-23
SG10201603090VA (en) 2016-11-29
US20180019387A1 (en) 2018-01-18
TW201709573A (zh) 2017-03-01
KR20160124689A (ko) 2016-10-28
CN110379918B (zh) 2024-07-05

Similar Documents

Publication Publication Date Title
KR102648476B1 (ko) Mram 스택을 패터닝하기 위한 건식 플라즈마 에칭 방법
JP6935985B2 (ja) 原子スケールのald(原子層堆積)プロセスとale(原子層エッチング)プロセスとの統合
JP6964964B2 (ja) チェンバ内で基板を処理する方法およびその装置
US12256645B2 (en) Chemical etch nonvolatile materials for MRAM patterning
TW202125640A (zh) 原子層蝕刻及離子束蝕刻圖案化