TWI673386B - 通過控制表面組成來調控鎢成長 - Google Patents

通過控制表面組成來調控鎢成長 Download PDF

Info

Publication number
TWI673386B
TWI673386B TW107110015A TW107110015A TWI673386B TW I673386 B TWI673386 B TW I673386B TW 107110015 A TW107110015 A TW 107110015A TW 107110015 A TW107110015 A TW 107110015A TW I673386 B TWI673386 B TW I673386B
Authority
TW
Taiwan
Prior art keywords
tungsten
layer
nucleation
substrate
gas
Prior art date
Application number
TW107110015A
Other languages
English (en)
Other versions
TW201835368A (zh
Inventor
吳凱
朴基振
柳尚澔
李相協
大都克哉
克林喬許華
王班哲明C
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201835368A publication Critical patent/TW201835368A/zh
Application granted granted Critical
Publication of TWI673386B publication Critical patent/TWI673386B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges

Abstract

在此揭露一種於催化巨量CVD沉積期間選擇性控制催化材料沉積速率的方法。該方法可包括以下步驟:將基材定位在處理腔室中,該基材包括表面區域與縫隙區域二者;將包含鎢的第一成核層正形地沉積覆於該基材的暴露表面上;以活化氮處理該第一成核層的至少一部分,其中該活化氮優先沉積於該表面區域上;將包含鎢之鹵化物的第一沉積氣體與含氫氣體反應,優先沉積鎢填充層於該基材的縫隙區域中;使包含鎢之鹵化物的成核氣體反應,以形成第二成核層;以及使包含鎢之鹵化物的第二沉積氣體與含氫氣體反應,以沉積鎢場層。

Description

通過控制表面組成來調控鎢成長
本發明的實施例大體上關於用於在半導體製造期間處理基材的方法。詳言之,本發明的實施例關於在CVD沉積製程之前處理成核層的方法。
可靠地生產奈米尺寸的特徵是下一代半導體元件的關鍵技術之一。縮小的電路與元件尺寸已對處理能力提出了額外的要求。位於積體電路科技核心的多層互連件需要精準地處理高深寬比特徵,諸如介層窗與其他互連件。在增加個別基材的電路密度與品質上的未來成功性與持續致力開發上,可靠地形成這些互連件是至關重要的。
基材上形成的特徵的金屬鍍覆(metallization)包括CVD沉積諸如鎢之金屬。鎢可用於金屬填充源極觸點、汲極觸點、金屬閘極填充與閘極觸點,還有DRAM與快閃記憶體中的應用。隨著特徵尺寸縮小,漸漸難以填充截面尺寸低於20 nm的特徵,同時又得維持填充區域無空隙。此外,若鎢的填充並非幾近完美,則後處理步驟(諸如鎢層的CMP與鎢的溼式與乾式往回蝕刻)可能會打開空隙或甚至縫線,而產生積集上的問題。
在凹角(re-entrant)的特徵中,該特徵的上部小於下部,該特徵可能無法透過當前的技術(甚至是以100%正形的鎢處理)無縫線地填充。鎢CVD填充在近20年已發展至幾近100%的正形度。但是,當前的技術以習知的ALD鎢成核之後進行鎢之鹵化物與H2 的巨量CVD填充,無法達成在特徵內部比在場上有更多的填充物。所得的縫線於鎢CMP期間暴露至漿料,或於鎢的往回蝕刻期間暴露至鎢的蝕刻化學環境(chemistry)。CMP漿料或往回蝕刻的化學環境從鎢CVD處理侵入縫線,擴大縫線,且可能導致下游的顯著積集問題。
因此,於此技術中已致力建立一種用於無縫線式以鎢填充特徵的鎢沉積製程,該製程具有增強的自底向上的鎢生長過程。
本發明的實施例大體上提供一種形成與處理成核層以控制鎢優先沉積至溝槽與介層窗中的方法。一個實施例中,一種控制CVD製程中的成核的方法可包括以下步驟:使包含鎢之鹵化物的第一成核氣體與反應物氣體反應,而沉積第一成核層;由含氮氣體形成電漿,以建立活化氮;以該活化氮處理該第一成核層的至少一部分,其中該活化氮優先沉積在表面區域上;使包含鎢之鹵化物的第一沉積氣體與含氫氣體反應,以沉積鎢填充層;使包含鎢之鹵化物的第二成核氣體與反應物氣體反應,以沉積第二成核層;以及,使包含六氟化鎢的第二沉積氣體與氫氣反應,以沉積鎢場層。
另一實施例中,一種控制CVD製程中的成核的方法可包括以下步驟:沉積包含鎢的第一成核層;以活化氮處理該第一成核層的至少一部分,其中該活化氮優先沉積在表面區域上;使包含鎢之鹵化物的第一沉積氣體與含氫氣體反應,以沉積鎢填充層;沉積包含鎢之鹵化物的成核氣體,以形成第二成核層;以及使包含鎢之鹵化物的第二沉積氣體與含氫氣體反應,以沉積鎢場層。
本發明之實施例大體上提供一種形成與處理成核層以控制鎢優先沉積進入溝槽與介層窗中的方法。透過先前技術的特徵中鎢沉積可能導致縫線產生,縫線是來自鎢CVD的成核生長已生長在一起的區域。許多實例中,這些縫線可能具有諸如縫隙的缺陷,這些縫隙是在生長期間由於壁的一個部分以與壁的另一部分稍微不同的速率生長所形成。如前文所述,這些縫隙可能會被後沉積處理(諸如在CMP期間)所劣化。透過控制CVD沉積鎢可能生長的區域,而可避開這些負面的效應。透過參照下文所討論的圖式,將更清楚地描述在此揭露的實施例。
第1圖是根據標準鎢沉積技術的具特徵之基材100的剖面視圖。如在此描繪,基材100具有暴露的場表面102與特徵104。基材100可具有標準組成,諸如結晶矽基材。特徵104可以是受蝕刻的特徵,諸如介層窗或溝槽。形成於基材中的特徵104可具有變化的剖面尺寸。例如,基材100可具有寬度由4 nm變化至8 nm、總深度為110 nm且深寬比為25:1的特徵104。
薄鎢層106可透過化學反應沉積覆於暴露的場表面102與特徵104上,諸如透過原子層沉積(ALD)所沉積的薄正形層。覆於薄鎢層106上的是鎢填充層108。鎢填充層108正形地沉積覆於薄鎢層106上。當層由所有表面同時且以相同速率生長時,可能發生兩個問題。第一,在特徵104中,底部區域110的寬度比特徵104於中間區域112或頂部區域114的寬度還要寬,中間區域112或頂部區域114可能會過早(premature)生長在一起,而產生空隙116。第二,在預期上較普遍的情況中,特徵104的區域會同時生長在一起,而非由底部區域110向上生長。特徵104中的此生長模式會產生縫線118,縫線118是在由鎢填充層108的生長相會時形成。縫線118產生空間而讓後處理反應物損壞鎢填充層108的均勻性,後處理反應物諸如為與CMP一併使用的彼等物質。
藉由以氮處理成核層的一部分,可於成核區域中減慢成核。藉此,可於鎢層的生長中大幅度地避開這些異常(anomaly)。多個實施例包括氮化一部分的成核層以形成氮化鎢(WN)。氮化主要發生在基材的場區域上,而極少氮會行進至基材表面中所形成的特徵中,這些特徵諸如介層窗與溝槽。WN作用為,藉由減少氫前驅物的活化,而增加場區域中巨量沉積製程沉積鎢所需的時間。
可實施各種技術以施加表面氮化,但該氮化於場中應該大於該一或更多個特徵內。直接電漿氮化造成場上優先氮化。可有偏壓或無偏壓地使用直接氮電漿。也可能使用含氮遠端電漿氮化。在此實例中,無離子化氮存在。然而,擴散限制仍可能造成場中氮化多於特徵中深處的氮化。最終結果為,相較於在特徵中的成核,在場上有比較高的鎢成核延遲。一旦特徵中確立鎢的生長,該生長會由成核點加速(在此實例中,為自底向上的機制)且造成無縫線的鎢填充。
第2圖是根據一個實施例的用於沉積無縫線鎢填充物的方法200的方塊圖。方法200可包括將基材定位於處理腔室中。基材可具有任何組成,諸如結晶矽基材。與一或更多個實施例一併使用的處理腔室可以是任何CVD處理腔室,諸如Centura CVD W沉積腔室,該腔室可購自位於美國加州Santa Clara的應用材料公司。下文所述的流速與其他處理參數是針對200 mm的基材。應瞭解,在不偏離於此揭露的發明的條件下,這些參數可根據受處理的基材之尺寸及所用的腔室類型調整。
方法200可包括沉積包含鎢的第一成核層,如204中所述。在此實施例中,第一成核層是透過ALD製程沉積。ALD製程可包括將鎢之鹵化物吸附在基材的暴露表面上。鎢之鹵化物可選自所有可得的鎢之鹵化物,諸如六氟化鎢(WF6 )或六氯化鎢(WCl6 )。可於固定壓力(諸如5托爾)提供鎢之鹵化物至基材。進一步而言,鎢之鹵化物可於250ºC至350ºC之間的溫度(諸如300ºC)下吸附。
鎢之鹵化物已吸附至基材上之後,則可使用惰氣(諸如氬氣)沖淨(purge)腔室移去殘餘氣體。隨後以反應物氣體處理基材,該反應物氣體諸如為二硼烷、甲矽烷、或乙矽烷,該反應物氣體與吸附的鎢之鹵化物反應,而在吸附表面上產生金屬鎢以及產生如上文所述被沖淨的鹵化物氣體。沉積的薄鎢層可具有在10 Å至100 Å之間的最終厚度,諸如25 Å。重要的是,需注意在不偏離此述的發明的條件下,其他實施例可包括由其他技術形成的成核層。
方法200可包括以活化氮處理第一成核層的一部分,如206中所述。於此實施例中,基材表面具有薄鎢層,該薄鎢層是以活化氮處理。在此上下文中,活化氮可意味包含氮的電漿或電漿形成後殘留的活性物種,例如於遠端電漿源中形成的包含含氮氣體之電漿。氮可透過各種含氮前驅物遞送,所述前驅物諸如為N2 、NH3 、H2 、Ar、He、Ne、或其他類似氣體與多種氣體之組合。
活化氮被遞送至基材表面並且與金屬鎢反應而形成WN。如先前所述,WN防止鎢在一開始成核於表面上,因而WN減慢鎢於WN存在之處的生長。當以電漿形式遞送氮,氮是以無偏壓或低偏壓的方式遞送,以預防氮沉積在特徵底部。離子化粒子在抵達特徵底部之前,將大體上會與側壁或上表面碰撞。當氮是以來自經熄滅的(quenched)電漿的活化氣體之形式遞送時,無氮氣體的方向性。然而,活化氮仍具有一定程度的內部運動,因而在擴散上受限。
較佳為使用較高培養時間(incubation time)的含氮氣體,而非較低的培養時間。相信氮需要高於10秒的時間以適當地併入成核層內。以在0 sccm至160 sccm之間的N2 流速進行10秒表面處理的實驗分析對成核與後續CVD之巨量沉積期間的鎢沉積無影響。進一步的實驗數據顯示,以在0 sccm至160 sccm之間的N2 流速進行60秒表面處理造成成核與後續CVD之巨量沉積期間的鎢沉積有25至30秒之間的延遲。
在不願受理論拘束的前提下,相信氮將會優先沉積在上表面上。然而,進一步相信氮將會沉積於特徵側壁上,而在特徵側壁上的鎢層上建立氮化梯度。氮擴散進入形成於基材上的特徵中是受控於氣體的能量層級、氣體的方向性、特徵尺寸、與特徵的深寬比。因此,相信氮應該以低能量電漿形式遞送或以來自經熄滅的電漿的活化氣體之形式遞送。低能量電漿或活化氣體將具有任意的方向性,而可增加與側壁或上表面碰撞的可能性。進一步而言,相較於較大的特徵或較低深寬比的特徵,具較高深寬比的較小特徵將使氮較少擴散進入特徵。因此,此技術提供的第二益處在於下述層面:隨著科技進步,特徵於尺寸上減少是唯一受到期待的。
方法200可包括反應第一沉積氣體以沉積鎢填充層,如208中所述。WN表面已形成於特徵的上側壁以及薄鎢層的上表面上之後,透過CVD製程沉積鎢填充層。CVD製程可以是任何可用的CVD製程,諸如熱CVD製程。用於該CVD的反應物氣體可包括鎢之鹵化物與含氫氣體,鎢之鹵化物諸如WF6 或WCl6 ,而含氫氣體諸如H2 。含氫氣體應需要催化,以盡量減少WN表面上的沉積。
不希望受理論所拘束,相信六氟化鎢(WF6 )或六氯化鎢(WCl6 )吸附在基材的暴露表面上,而反應形成WF5 與F。H2 是透過暴露且未結合的鎢所催化,以由H2 形成H原子。H原子可隨後與吸附的F反應,以產生HF,該HF從表面脫附,而留下金屬鎢與進一步的吸附位置。WF6 的反應機制可簡介如下: WF6 → WF5 + F H2 → 2H WF5 + 6H+ F→ 6HF+W
相信WCl6 具有類似的反應機制。上述反應機制需要可用的催化劑以由H2 形成H原子。缺乏催化劑時,WF6 的可用結合位置是速率限制式。由於WN並非形成H原子的良好催化劑,所以薄鎢層的氮化減慢巨量鎢層的成核。
由於WN形成於特徵的上側壁與薄鎢層的上表面上,所以優先成核位置轉為特徵底部。WF6 吸附在所有可用表面上,但由於相較於WN表面,在鎢表面上顯著更佳地催化從H2 至原子氫的形成,所以在鎢表面處成核比在WN表面處快。溫度也影響從H2 形成原子氫。就此而言,鎢填充層的生長溫度可在250ºC至450ºC之間,較佳實施例為介於300ºC至350ºC之間。
方法200可包括形成第二成核層,如210所述。如先前所陳述,鎢沉積後的後處理步驟大體上包括CMP與鎢的往回蝕刻,以提供具有鎢所填充之特徵的平坦表面。因此,大體上沉積正形鎢層以保護底下的層,同時移除來自先前步驟的過量沉積。雖然成核與後續沉積最終會發生在WN表面上,但相信一旦特徵填充後即強化WN表面上的生長是有利的,以為這些後處理步驟提供更加均勻的表面。
可使用鎢之鹵化物(諸如WF6 或Wcl6 )沉積成核層。如在先前步驟中,鎢之鹵化物得以吸附在薄鎢層以及WN表面上。鎢之鹵化物已吸附後,則可使用惰氣(諸如氬氣)沖淨腔室移去殘餘氣體。隨後可用反應物氣體處理基材,該反應物氣體諸如二硼烷、甲矽烷、或乙矽烷,所述反應物氣體與吸附的鎢之鹵化物反應,而在吸附的表面上產生金屬鎢並且產生鹵化物氣體。如上文所述沖淨鹵化物氣體,以防止進一步與基材交互作用。藉由使用不需要來自鎢的催化活性的反應物氣體,薄鎢層可再度沉積於暴露表面上且不需考慮來自WN的低催化活性。
方法200可包括反應第二沉積氣體,以沉積鎢場層覆於第二成核層上,如212中所述。210中正形沉積的薄鎢層可催化鎢場層的形成。鎢場層是透過CVD製程沉積。CVD製程可以是任何可用的CVD製程,諸如熱CVD製程。用於CVD的反應物氣體可包括鎢之鹵化物(諸如WF6 或WCl6 )以及含氫氣體(諸如H2 )。對於一個實施例中的鎢場層之形成而言,含氫氣體經催化而形成原子氫並非必要。針對此實施例,鎢與WN之間在成核中的選擇性對於形成鎢場層而言並非必需。
一旦鎢場層隨後沉積於第二成核層上達期望厚度,方法200可停止,如214中所述。較佳實施例中,一旦沉積完成後,鎢場層厚度介於1500 Å至3500 Å之間。隨後可將基材從腔室移出,以供進一步處理。
第3A圖至第3C圖是根據一或更多個實施例描繪氮處理對鎢成核延遲的效應的圖表。用於分析的基材不具有形成於表面上的特徵。薄鎢層透過ALD製程使用WF6 與二硼烷沉積在基材上。薄層是在5托爾下於300ºC沉積。薄鎢層的整體厚度大約是25 Å。活化氮包含N2 與Ar,且由功率大於1000瓦的遠端電漿所活化。於每一圖表繪製在以從0 sccm變化至160 sccm的N2 流速下的用於該等基材的培養時間。
第3A圖是描繪巨量鎢沉積前具低暴露時間及高溫的基材表面處理之圖表300。以N2 處理基材10秒,N2 流速是以0、10、40、80、與160 sccm之數據點變化。以N2 處理後,基材暴露至400 ºC下WF6 與H2 的標準組成,以確定巨量鎢沉積所需的培養時間。在這些參數下,氮處理對於薄鎢層並無關於時間對沉積的顯著效應。0 sccm N2 之樣本與其餘數據點之間的培養時間的偏移低於1秒。
第3B圖是描繪具高暴露時間及高溫的基材表面處理之圖表302。以N2 處理基材60秒,N2 流速是以0、10、40、80、與160 sccm之數據點變化。以N2 處理後,基材暴露至400 ºC下WF6 與H2 的相同組成,以進行巨量鎢沉積。以N2 進行60秒的處理顯示,在巨量鎢層的成核上,培養時間顯著增加。0 sccm N2 之樣本與10 sccm N2 之間的培養時間的偏移大約25秒。這些參數下測試樣本中可見的最大增加是100 sccm與160 sccm數據點的30秒延遲。
第3C圖是描繪具低時間及低溫的基材表面處理之圖表304。以N2 處理基材10秒,N2 流速是以0、10、40、80、與160 sccm之數據點變化。以N2 處理後,基材暴露至300 ºC下WF6 與H2 的相同組成,以進行巨量鎢沉積。以N2 於300 ºC進行10秒的處理顯示,相較於第3A圖或第3B圖,在巨量鎢層的成核上,培養時間顯著增加。0 sccm N2 之樣本與10 sccm N2 之間的培養時間的偏移大約75秒。增加流速顯示勝於先前樣本的顯著增加,且不會如第3B圖中那般明顯變平。這些參數下測試樣本中可見的最大增加是160 sccm數據點的200秒延遲。基於這些數據點,期待隨著流速增加而有進一步的增加。
上述實施例中,由於任何流速下的N2 毒化(poison)造成的10秒處理培養延遲似乎在用於沉積巨量鎢層的高溫下(諸如超過400 ºC之溫度)被抵銷。因此,相信如果氮處理是針對短時間(諸如10秒的N2 處理),則巨量鎢層應該在低於400ºC之溫度下沉積。對於進一步的實施例,可利用將基材暴露至較高N2 流速達較長時期的較短培養延遲,且後續在較高溫度下沉積巨量鎢層,諸如後續以一個步驟進行鎢場層沉積(而不形成第二薄鎢層)的鎢填充層的沉積。
進一步的實施例可運用溫度改變策略,以達成介層窗中以及後續的場沉積中有適當的鎢填充。例如,一個實施例可包括於高流速(諸如160 sccm)氮化薄鎢層、於低溫(諸如250ºC至350ºC之間)沉積鎢填充層達一段時間,該段時間少於WN層上沉積的培養時間,之後提高溫度至高溫(諸如大於400ºC)以沉積鎢場層。發明所屬技術領域中具有通常知識者將瞭解,有各種排列組合(permutation),可在不背離於此揭露的實施例的前提下執行該等排列組合。
第4A圖至第4D圖是根據一或更多個實施例的具有填充特徵的基材的放大影像。上面形成有多個特徵的矽基材定位在處理腔室中。藉由ALD製程使用WF6 與二硼烷在基材上沉積薄鎢層。在300ºC於5托爾下沉積薄層。薄鎢層的總厚度大約為25Å。活化氮包含N2 與Ar,且由遠端電漿在大於1000 W的功率下活化。
第4A圖是以低氮流速之活化氮與低溫巨量鎢沉積進行預處理之基材的放大影像400。進一步以如上所述的活化氮於10 sccm處理基材達10秒。氮處理後,藉由CVD使用WF6 與H2 以300托爾的壓力於300ºC沉積巨量鎢達120秒。影像400中可見三個特徵,且外層為巨量鎢層。基材中的特徵具有巨量鎢沉積,該巨量鎢沉積已於特徵底部處生長在一起,從而防止縫隙形成。如影像400中所見,相較於特徵底部,表面與側壁上的成核減少。
第4B圖是以低氮流速之活化氮與高溫巨量鎢沉積進行預處理之基材的放大影像402。進一步以如上所述的活化氮於10 sccm處理基材達10秒。氮處理後,藉由CVD使用WF6 與H2 以300托爾的壓力於400ºC沉積巨量鎢達30秒。影像402中可見三個特徵,且外層為巨量鎢層。如由第3B圖中的結果所期望,甚至在氮化存在下,成核已在薄鎢層表面上開始。同樣,有一些這樣的訊號:縫隙已形成於該等特徵之至少一者的底部處。
第4C圖是以高氮流速之活化氮與低溫巨量鎢沉積進行預處理之基材的放大影像404。進一步以如上所述的活化氮於160 sccm處理基材達10秒。氮處理後,藉由CVD使用WF6 與H2 以300托爾的壓力於300ºC沉積巨量鎢達120秒。影像404中可見三個特徵,且外層為巨量鎢層。影像404顯示,成核戲劇性地在特徵上部與上表面上減少,而非特徵底部。再者,沒有縫隙形成於特徵中的訊號。
第4D圖是以高氮流速之活化氮與高溫巨量鎢沉積進行預處理之基材的放大影像406。進一步以如上所述的活化氮於10 sccm處理基材達10秒。氮處理後,藉由CVD使用WF6 與H2 以300托爾的壓力於400ºC沉積巨量鎢達30秒。影像406中可見三個特徵,且外層為巨量鎢層。如於該影像中可見,縫隙已清楚地形成在架構中的該三個特徵中的兩個中。同樣,巨量鎢層的側壁生長與上表面生長等於或大於在特徵底部處的生長。
活性氮擴散進入基材上表面中,且看似以梯度的方式擴散進入特徵中,而極少擴散進入特徵底部上所配置的薄鎢層中。如第4A圖至第4D圖中所示,表面處理期間高N2 流速增強特徵中鎢CVD生長對上表面鎢CVD生長的比例,相信此現象與WN形成之效應相關。再者,由藉由CVD的巨量鎢之低溫沉積增強場對特徵中的巨量鎢生長選擇性。於第4C中顯示這些選擇性的增加是累積的。每一實例中,達成無空隙的特徵填充,這是由於場區域的催化活性被表面上WN的形成所減少所致。結論
本發明的實施例大體上提供形成與處理成核層的方法,以減慢受處理之表面上的鎢沉積以及改善特徵填充。隨著特徵在尺寸上減少(諸如低於20 nm),那些特徵中的適當鎢填充會變得更加困難。更接近基材上表面的特徵區域中的沉積可抑制鎢移動進入特徵。透過對氮併入鎢成核層的控制,鎢的巨量CVD沉積可在期望較少沉積的區域中減慢,且得以於期望更多鎢沉積的區域中以正常速率進行。
雖然前述內容涉及本發明之實施例,但可不背離本發明之基本範疇而設計本發明之其他與進一步之實施例,且本發明之範疇由隨後的申請專利範圍所決定。
100‧‧‧基材
102‧‧‧場表面
104‧‧‧特徵
106‧‧‧薄鎢層
108‧‧‧鎢填充層
110‧‧‧底部區域
112‧‧‧中間區域
114‧‧‧頂部區域
116‧‧‧空隙
118‧‧‧縫線
200‧‧‧方法
202-214‧‧‧步驟
300-304‧‧‧圖表
400-406‧‧‧影像
為了使得能夠更詳細地理解本發明的上文記載特徵的方式,可透過參考實施例來獲得上文簡要總結的本發明的更特定描述,該等實施例中的一些實施例在附圖中加以繪示。然而應注意附圖僅繪示此發明的典型實施例,因而不應將該等附圖視為限制本發明之範疇,因為本發明可容許其他等效實施例。
第1圖是根據標準鎢沉積技術的具特徵之基材的剖面視圖。
第2圖是根據一個實施例用於沉積無縫線的鎢填充物的方法之方塊圖。
第3A圖至第3C圖是描繪根據一或更多個實施例的氮處理對鎢成核延遲之效應的圖表。
第4A圖至第4D圖是根據一或更多個實施例的具填充特徵之基材的放大影像。
為了助於瞭解,如可能則使用相同的元件符號標注共通於該等圖式的相同元件。應考量在一個實施例中揭露的元件可有利地用於其他實施例,而無需進一步記載。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (15)

  1. 一種於CVD製程中控制成核的方法,包括以下步驟:將一基材定位在一處理腔室中,該基材包括多個表面區域與縫隙區域二者;將包含鎢的一第一成核層正形地沉積覆於該等表面區域與該等縫隙區域上;以活化氮處理該第一成核層的至少一部分,其中該活化氮優先沉積於該等表面區域上;將包含一第一鎢前驅物的一第一沉積氣體與一第一含氫氣體反應,以將一鎢填充層沉積於該第一成核層上,該鎢填充層優先沉積在該基材的多個縫隙區域中;使包含一第二鎢前驅物的一成核氣體反應,以將一第二成核層形成為覆於該鎢填充層上;以及使包含一第三鎢前驅物的一第二沉積氣體與一第二含氫氣體反應,以將一鎢場層沉積覆於該第二成核層上。
  2. 如請求項1所述之方法,其中該鎢填充層與該鎢場層之至少一者是在250℃至450℃之間的一溫度下沉積。
  3. 如請求項1所述之方法,其中該第一鎢前驅 物、第二鎢前驅物、或第三鎢前驅物之至少一者是選自由六氟化鎢(WF6)、六氯化鎢(WCl6)、與前述物質之組合所構成的群組。
  4. 如請求項1所述之方法,其中活化氮包含一源氣體,該源氣體選自由N2、NH3、H2、Ar、He、Ne、與前述氣體之組合所構成的群組。
  5. 如請求項1所述之方法,其中該基材是以活化氮處理達一段時間,該段時間為10秒或更長。
  6. 如請求項1所述之方法,其中該鎢場層是在大於400℃的一溫度下沉積。
  7. 如請求項1所述之方法,其中該基材是以活化氮處理達一段時間,該段時間為介於10秒與60秒之間。
  8. 一種於CVD製程中控制成核的方法,包括以下步驟:將一基材定位在一處理腔室中,該基材具有一暴露表面,該暴露表面包括多個表面區域與縫隙區域;將包含一第一鎢前驅物的一第一成核氣體與一反應物氣體反應,而將一第一成核層沉積覆於該暴露表面上;由一含氮氣體形成一電漿,以產生一活化氮;以該活化氮處理該第一成核層的至少一部分,該活 化氮優先沉積於該等表面區域上;將包含一第二鎢前驅物的一第一沉積氣體與一第一含氫氣體反應,以將一鎢填充層沉積於該第一成核層的至少一部分上;使包含一第三鎢前驅物的一第二成核氣體與該反應物氣體反應,以將一第二成核層沉積覆於該鎢填充層上;以及使包含六氟化鎢的一第二沉積氣體與氫氣反應,以將一鎢場層沉積在該第二成核層上。
  9. 如請求項8所述之方法,其中該鎢填充層與該鎢場層之至少一者是在250℃至450℃之間的一溫度下沉積。
  10. 如請求項8所述之方法,其中該活化氮是由電漿製程形成。
  11. 如請求項8所述之方法,其中該活化氮是以一電漿形式遞送至該基材。
  12. 如請求項8所述之方法,其中該第一鎢前驅物、第二鎢前驅物、或第三鎢前驅物之至少一者是選自由六氟化鎢(WF6)、六氯化鎢(WCl6)、與前述物質之組合所構成的群組。
  13. 如請求項8所述之方法,其中活化氮包含一源氣體,該源氣體選自由N2、NH3、H2、Ar、He、 Ne、與前述氣體之組合所構成的群組。
  14. 如請求項8所述之方法,其中該基材是以活化氮處理達一段時間,該段時間為介於10秒與60秒之間。
  15. 如請求項8所述之方法,其中在形成每一成核層後,使用一惰氣沖淨該腔室。
TW107110015A 2012-10-11 2013-09-18 通過控制表面組成來調控鎢成長 TWI673386B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261712648P 2012-10-11 2012-10-11
US61/712,648 2012-10-11
US13/968,057 2013-08-15
US13/968,057 US9169556B2 (en) 2012-10-11 2013-08-15 Tungsten growth modulation by controlling surface composition

Publications (2)

Publication Number Publication Date
TW201835368A TW201835368A (zh) 2018-10-01
TWI673386B true TWI673386B (zh) 2019-10-01

Family

ID=50475557

Family Applications (2)

Application Number Title Priority Date Filing Date
TW107110015A TWI673386B (zh) 2012-10-11 2013-09-18 通過控制表面組成來調控鎢成長
TW102133943A TWI623643B (zh) 2012-10-11 2013-09-18 通過控制表面組成來調控鎢成長

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW102133943A TWI623643B (zh) 2012-10-11 2013-09-18 通過控制表面組成來調控鎢成長

Country Status (5)

Country Link
US (1) US9169556B2 (zh)
KR (1) KR102197537B1 (zh)
CN (2) CN104813444B (zh)
TW (2) TWI673386B (zh)
WO (1) WO2014058536A1 (zh)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR102064627B1 (ko) 2012-03-27 2020-01-09 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR20160079031A (ko) * 2013-11-27 2016-07-05 도쿄엘렉트론가부시키가이샤 텅스텐막의 성막 방법
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9153482B2 (en) 2014-02-03 2015-10-06 Lam Research Corporation Methods and apparatus for selective deposition of cobalt in semiconductor processing
US9653352B2 (en) * 2014-04-11 2017-05-16 Applied Materials, Inc. Methods for forming metal organic tungsten for middle of the line (MOL) applications
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) * 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) * 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
KR102447489B1 (ko) 2015-09-02 2022-09-27 삼성전자주식회사 반도체 메모리 소자
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US10438847B2 (en) 2016-05-13 2019-10-08 Lam Research Corporation Manganese barrier and adhesion layers for cobalt
US10573522B2 (en) * 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
TWI700799B (zh) 2016-10-04 2020-08-01 聯華電子股份有限公司 導電結構、包含導電結構之佈局結構以及導電結構之製作方法
US9735015B1 (en) 2016-12-05 2017-08-15 United Microelectronics Corporation Fabricating method of semiconductor structure
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
CN108560030B (zh) * 2018-06-01 2019-11-19 上海华力集成电路制造有限公司 金属钨的沉积方法
CN113508465A (zh) * 2018-11-30 2021-10-15 朗姆研究公司 存储器应用的线挠曲控制
CN113166929A (zh) 2018-12-05 2021-07-23 朗姆研究公司 无空隙低应力填充
WO2020123987A1 (en) 2018-12-14 2020-06-18 Lam Research Corporation Atomic layer deposition on 3d nand structures
KR20210110886A (ko) 2019-01-28 2021-09-09 램 리써치 코포레이션 금속 막들의 증착
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
US10867808B1 (en) * 2019-07-09 2020-12-15 United Microelectronics Corp. Manufacturing method of connection structure
US11830725B2 (en) 2020-01-23 2023-11-28 Applied Materials, Inc. Method of cleaning a structure and method of depositing a capping layer in a structure
US20210384035A1 (en) * 2020-06-04 2021-12-09 Applied Materials, Inc. Fluorine-Free Tungsten ALD And Tungsten Selective CVD For Dielectrics
CN113013093A (zh) * 2021-02-24 2021-06-22 上海华力集成电路制造有限公司 一种连接孔的钨填充工艺方法
US20220359279A1 (en) * 2021-05-10 2022-11-10 Applied Materials, Inc. Methods of forming void and seam free metal features
US20230023235A1 (en) * 2021-07-26 2023-01-26 Applied Materials, Inc. Enhanced stress tuning and interfacial adhesion for tungsten (w) gap fill

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040202786A1 (en) * 2001-05-22 2004-10-14 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US20060292874A1 (en) * 2000-06-28 2006-12-28 Moris Kori method for forming tungsten materials during vapor deposition processes
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5906866A (en) 1997-02-10 1999-05-25 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
US6358844B1 (en) 2000-06-01 2002-03-19 Taiwan Semiconductor Manufacturing, Company, Ltd Tungsten deposition process with dual-step nucleation
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US6635965B1 (en) * 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
KR100455382B1 (ko) * 2002-03-12 2004-11-06 삼성전자주식회사 듀얼 다마신 구조를 가지는 반도체 소자의 금속 배선 형성방법
US6905543B1 (en) * 2002-06-19 2005-06-14 Novellus Systems, Inc Methods of forming tungsten nucleation layer
KR20050011479A (ko) 2003-07-23 2005-01-29 주식회사 하이닉스반도체 반도체 소자의 텅스텐 콘택플러그 형성방법
KR20060074762A (ko) 2004-12-28 2006-07-03 동부일렉트로닉스 주식회사 반도체 소자 제조 시 텅스텐 콘텍 형성방법
CN101308794B (zh) * 2007-05-15 2010-09-15 应用材料股份有限公司 钨材料的原子层沉积
US7772114B2 (en) * 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8551885B2 (en) * 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US20120199887A1 (en) * 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
US8865594B2 (en) 2011-03-10 2014-10-21 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
CN105453230B (zh) * 2013-08-16 2019-06-14 应用材料公司 用六氟化钨(wf6)回蚀进行钨沉积

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060292874A1 (en) * 2000-06-28 2006-12-28 Moris Kori method for forming tungsten materials during vapor deposition processes
US20040202786A1 (en) * 2001-05-22 2004-10-14 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly

Also Published As

Publication number Publication date
WO2014058536A1 (en) 2014-04-17
KR102197537B1 (ko) 2020-12-31
CN104813444B (zh) 2018-04-20
US20140106083A1 (en) 2014-04-17
TW201416480A (zh) 2014-05-01
KR20150063562A (ko) 2015-06-09
CN104813444A (zh) 2015-07-29
TWI623643B (zh) 2018-05-11
CN108538715B (zh) 2023-03-14
CN108538715A (zh) 2018-09-14
TW201835368A (zh) 2018-10-01
US9169556B2 (en) 2015-10-27

Similar Documents

Publication Publication Date Title
TWI673386B (zh) 通過控制表面組成來調控鎢成長
US10580645B2 (en) Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
JP7224335B2 (ja) モリブデンを含有する低抵抗膜
TWI809712B (zh) 用於在基板上形成鈷層的方法
CN110004429B (zh) 钨特征填充
EP2831907B1 (en) Method of enabling seamless cobalt gap-fill
US9275865B2 (en) Plasma treatment of film for impurity removal
US8685832B2 (en) Trench filling method and method of manufacturing semiconductor integrated circuit device
US9546419B2 (en) Method of reducing tungsten film roughness and resistivity
JP2009509322A (ja) 半導体装置用構造およびその製造方法
TWI784036B (zh) 層形成方法
WO2010077728A2 (en) Densification process for titanium nitride layer for submicron applications
CN110797300A (zh) 金属钨的填充方法
US6969677B2 (en) Methods of forming conductive metal silicides by reaction of metal with silicon
JP7471286B2 (ja) ライナーレス連続アモルファス金属膜
JP2022545217A (ja) 金属充填プロセス中のラインベンディングの低減
JP3801923B2 (ja) タングステンシリサイド形成方法
KR20010007527A (ko) 반도체 장치내에 실리사이드를 형성하는 방법 및 이를이용한 프로세서 판독가능 저장매체
JP7485736B2 (ja) 3d nandデバイス用の誘電体材料上におけるモリブデンを含有する低抵抗膜の成膜
US20230109501A1 (en) Tungsten gapfill using molybdenum co-flow
US20230340661A1 (en) Gapfill Process Using Pulsed High-Frequency Radio-Frequency (HFRF) Plasma
CN114664729A (zh) 半导体集成电路装置的接触插塞形成方法
KR20060076584A (ko) 텅스텐 박막 증착방법