CN104813444A - 通过控制表面组成来调控钨生长 - Google Patents

通过控制表面组成来调控钨生长 Download PDF

Info

Publication number
CN104813444A
CN104813444A CN201380053240.1A CN201380053240A CN104813444A CN 104813444 A CN104813444 A CN 104813444A CN 201380053240 A CN201380053240 A CN 201380053240A CN 104813444 A CN104813444 A CN 104813444A
Authority
CN
China
Prior art keywords
tungsten
layer
substrate
nitrogen
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201380053240.1A
Other languages
English (en)
Other versions
CN104813444B (zh
Inventor
吴凯
朴基振
柳尚澔
李相协
大东和也
约书亚·柯林斯
王成贤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201810259666.7A priority Critical patent/CN108538715B/zh
Publication of CN104813444A publication Critical patent/CN104813444A/zh
Application granted granted Critical
Publication of CN104813444B publication Critical patent/CN104813444B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

在此揭示一种在催化体CVD沉积期间选择性控制催化材料的沉积速率的方法。所述方法可包括以下步骤:将基板定位在处理腔室中,所述基板包括表面区域和间隙区域二者;将包含钨的第一成核层保形地沉积于所述基板的暴露表面上;用活化氮处理所述第一成核层的至少一部分,其中所述活化氮优先沉积于所述表面区域上;使包含钨卤化物的第一沉积气体与含氢气体反应,以优先沉积钨填充层于所述基板的间隙区域中;使包含钨卤化物的成核气体反应,以形成第二成核层;以及使包含钨卤化物的第二沉积气体与含氢气体反应,以沉积钨场层。

Description

通过控制表面组成来调控钨生长
技术领域
本发明的实施方式大体涉及用于在半导体制造期间处理基板的方法。特别地,本发明的实施方式涉及在CVD沉积工艺之前处理成核层的方法。
背景技术
可靠地生产纳米尺寸的特征是下一代半导体器件的关键技术之一。缩小的电路与器件尺寸已对处理能力提出了额外的要求。位于集成电路技术核心的多层互连需要精准地处理高深宽比特征,比如过孔及其他互连。可靠地形成这些互连对未来成功及持续努力增加单个基板的电路密度与品质是至关重要的。
基板上形成的特征的金属镀覆(metallization)包括诸如钨之类的金属的CVD沉积。钨可用于漏极触点、源极触点的金属填充、金属栅极填充和栅极触点,以及DRAM和闪存中的应用。随着特征尺寸缩小,渐渐难以填充横截面尺寸小于20nm的特征,同时维持填充区域无空隙。此外,若钨的填充并非几近完美,则后处理步骤(比如钨层的CMP及钨(湿式和干式)回蚀刻(etchback))可能会打开空隙或甚至缝,而产生集成问题。
在凹状(re-entrant)特征中,所述特征的上部小于下部,所述特征不能通过当前的技术(甚至是以100%保形的钨处理)无缝地填充。钨CVD填充在近20年已发展至几近100%的保形性。但是,当前的技术在传统的ALD钨成核之后进行钨卤化物与H2的体CVD填充,无法达成在特征内部比在场上有更多的填充物。所产生的缝在钨CMP期间暴露至浆料,或在钨回蚀刻期间暴露至钨蚀刻化学品(chemistry)。CMP浆料或回蚀刻化学品从钨CVD处理进入缝,扩大缝,且可能导致下游的显著集成问题。
因此,在本领域中有许多努力致力于建立一种用于特征的无缝钨填充的钨沉积工艺,所述工艺具有增强的自底向上的钨生长过程。
发明内容
本发明的实施方式大体提供一种形成与处理成核层以控制钨优先沉积至沟槽与过孔中的方法。在一个实施方式中,一种控制CVD工艺中的成核的方法可包括以下步骤:使包含钨卤化物的第一成核气体与反应物气体反应,以沉积第一成核层;由含氮气体形成等离子体,以产生活化氮;用所述活化氮处理所述第一成核层的至少一部分,其中所述活化氮优先沉积在表面区域上;使包含钨卤化物的第一沉积气体与含氢气体反应,以沉积钨填充层;使包含钨卤化物的第二成核气体与反应物气体反应,以沉积第一成核层;以及使包含六氟化钨的第二沉积气体与氢气反应,以沉积钨场层(tungsten field layer)。
在另一实施方式中,一种控制CVD工艺中的成核的方法可包括以下步骤:沉积包含钨的第一成核层;用活化氮处理所述第一成核层的至少一部分,其中所述活化氮优先沉积在表面区域上;使包含钨卤化物的第一沉积气体与含氢气体反应,以沉积钨填充层;沉积包含钨卤化物的成核气体,以形成第二成核层;以及使包含钨卤化物的第二沉积气体与含氢气体反应,以沉积钨场层。
附图说明
为了能够详细地理解本发明的上述特征,可通过参考实施方式来获得上文简要概述的本发明的更特定描述,这些实施方式中的一些实施方式在附图中示出。然而应注意附图仅绘示本发明的典型实施方式,因而不应将这些附图视为对本发明的范围的限制,因为本发明可容许其他等同有效的实施方式。
图1是具有根据标准钨沉积技术的特征的基板的截面图。
图2是根据一个实施方式的用于沉积无缝钨填充物的方法的方块图。
图3A至图3C是根据一或更多个实施方式描绘氮处理对钨成核延迟的影响的图。
图4A至图4D是根据一或更多个实施方式的具有填充特征的基板的放大图像。
为了帮助理解,已尽可能使用相同的标记数字来表示各图共用的相同元件。应考虑到在一个实施方式中揭示的元件可有利地用于其他实施方式,而无需特定详述。
具体实施方式
本发明的实施方式大体提供一种形成与处理成核层以控制钨优先沉积到沟槽与过孔中的方法。通过现有技术在特征中沉积钨可能导致产生缝,所述缝来自钨CVD的成核生长已生长到一起的区域。在许多情况中,这些缝可能具有诸如缝隙之类的缺陷,这些缝隙是在生长期间由于壁的一个部分以与壁的另一部分稍微不同的速率生长而形成。如前文所述,这些缝隙可能会被后沉积处理(比如在CMP期间)劣化。通过控制CVD沉积的钨能生长的区域,能避免这些负面的影响。参照下文所论述的附图将更清楚地描述在此揭示的实施方式。
图1是具有根据标准钨沉积技术的特征的基板100的截面图。如在此描绘的,基板100具有暴露的场表面102和特征104。基板100可具有标准组成,比如结晶硅基板。特征104可以是被蚀刻的特征,比如过孔或沟槽。形成于基板中的特征104可具有变化的截面尺寸。例如,基板100可具有宽度从4nm变化至8nm、总深度为110nm且深宽比为25:1的特征104。
薄钨层106可通过化学反应沉积于暴露的场表面102和特征104之上,比如通过原子层沉积(ALD)而沉积的薄保形层。在薄钨层106上的是钨填充层108。钨填充层108保形地沉积于薄钨层106上。当层从所有表面同时且以相同速率生长时,可能发生两个问题。第一,在特征104中,底部区域110的宽度比特征104的中间区域112或顶部区域114的宽度还要宽,中间区域112或顶部区域114可能会过早(prematurely)生长在一起,而产生空隙116。第二,在预期地较普遍的情况中,特征104的区域会同时生长在一起,而非从底部区域110向上生长。特征104中的此生长模式会产生缝118,缝118是在钨填充层108的生长相遇时形成的。缝118产生空间而让后处理反应物损坏钨填充层108的均匀性,后处理反应物比如为与CMP一并使用的那些物质。
通过用氮处理成核层的一部分,能在成核区域中减慢成核。由此,能在钨层的生长中很大程度上避免这些异常(anomaly)。实施方式包括氮化一部分的成核层以形成氮化钨(WN)。氮化主要发生在基板的场区域上,而极少氮会行进至基板表面中所形成的特征中,这些特征比如过孔和沟槽。WN起作用以通过减少氢前驱物的活化来增加场区域中由体沉积工艺沉积钨所需的时间。
能实施各种技术以施加表面氮化,但所述氮化在场中应该比在一或更多个特征内更显著。直接等离子体氮化造成场上优先氮化。可有偏压或无偏压地使用直接氮等离子体。也可能使用含氮远程等离子体氮化。在此情况中,无离子化氮存在。然而,扩散限制仍可能造成场中氮化多于特征中深处的氮化。最终结果为,相较于在特征中的成核,在场上有比较高的钨成核延迟。一旦特征中确立钨的生长,则钨会从成核点加速生长(在此情况中,为自底向上的机制)且产生无缝钨填充。
图2是根据一个实施方式的用于沉积无缝钨填充物的方法200的方块图。方法200可包括将基板定位于处理腔室中。所述基板能具有任何组成,比如结晶硅基板。于一或更多个实施方式使用的处理腔室可以是任何CVD处理腔室,比如Centura CVD W沉积腔室,所述腔室可购自位于美国加州圣克拉拉市的应用材料公司。下文描述的流量及其他处理参数是针对200mm的基板。应了解,在不背离于此揭示的本发明的情况下,能根据被处理的基板的尺寸及所使用的腔室类型调整这些参数。
方法200可包括沉积包含钨的第一成核层,如204中所述。在此实施方式中,第一成核层是通过ALD工艺沉积的。ALD工艺可包括将钨卤化物吸附在基板的暴露表面上。钨卤化物可选自所有可得的钨卤化物,比如六氟化钨(WF6)或六氯化钨(WCl6)。可于恒定压强(比如5托尔)提供钨卤化物至基板。进一步而言,钨卤化物能于250℃与350℃之间的温度(比如300℃)下被吸附。
在钨卤化物已被吸附在基板上之后,则能使用惰性气体(比如氩气)清除(purge)腔室的残余气体。随后能用反应物气体处理基板,所述反应物气体比如为乙硼烷、甲硅烷或乙硅烷,所述反应物气体与吸附的钨卤化物反应,而在吸附表面上产生金属钨以及产生如上文所述那样被清除的卤化物气体。沉积的薄钨层能具有在之间的最终厚度,比如重要的是,应注意在不背离在此描述的本发明的情况下,其他实施方式可包括由其他技术形成的成核层。
方法200可包括用活化氮处理第一成核层的一部分,如206中所述。于此实施方式中,基板表面具有薄钨层,用活化氮处理所述薄钨层。在此上下文中,活化氮可意指包含氮的等离子体或等离子体形成后保留的活性物种(例如于远程等离子体源中形成的包含含氮气体的等离子体)。能通过各种含氮前驱物运送氮,所述前驱物比如为N2、NH3、H2、Ar、He、Ne或其他类似气体和气体的组合。
活化氮被运送至基板表面并且与金属钨反应而形成WN。如先前描述的,WN防止表面上钨的起始成核,因而WN减慢WN存在之处钨的生长。当以等离子体形式运送氮时,以无偏压或低偏压的方式运送氮,以预防氮沉积在特征底部。离子化粒子在抵达特征底部之前,将通常会与侧壁或上表面碰撞。当以来自被熄灭的(quenched)等离子体的活化气体的形式运送氮时,氮气体无方向性(directionality)。然而,活化氮仍具有一定程度的内部运动,因而扩散受限。
较佳使用较长培养时间(incubation time)的含氮气体,而非较短的培养时间。相信氮需要长于10秒的时间来适当地并入成核层内。以0sccm与160sccm之间的N2流量进行10秒表面处理的实验分析对成核及后续CVD体沉积期间的钨沉积无影响。进一步的实验数据显示,以0sccm与160sccm之间的N2流量进行60秒表面处理造成成核及后续CVD体沉积期间的钨沉积有25秒与30秒之间的延迟。
在不愿受理论约束的前提下,相信氮将会优先沉积在上表面上。然而,进一步相信氮将会沉积于特征侧壁上,而在特征侧壁上的钨层上产生氮化梯度。氮向形成于基板上的特征中的扩散受控于气体的能量水平、气体的方向性、特征的尺寸及特征的深宽比。因此,相信氮应该以低能量等离子体形式运送或以来自熄灭的等离子体的活化气体的形式运送。低能量等离子体或活化气体将具有任意的方向性,而能增加与侧壁或上表面碰撞的可能性。进一步而言,相较于较大的特征或较低深宽比的特征,具有较高深宽比的较小特征将具有较少氮扩散进入特征。因此,此技术提供的第二益处在于随着科技进步,仅期待特征尺寸减少。
方法200可包括使第一沉积气体反应以沉积钨填充层,如208中所述。WN表面已形成于特征的上侧壁以及薄钨层的上表面上之后,通过CVD工艺沉积钨填充层。CVD工艺可以是任何可用的CVD工艺,比如热CVD工艺。用于所述CVD的反应物气体可包括钨卤化物和含氢气体,钨卤化物比如WF6或WCl6,含氢气体比如H2。含氢气体应需要催化作用,以尽量减少WN表面上的沉积。
不希望受理论的约束,相信六氟化钨(WF6)或六氯化钨(WCl6)吸附在基板的暴露表面上,而反应形成WF5与F。H2被暴露且未结合的钨催化,以由H2形成H原子。H原子可随后与吸附的F反应,以产生HF,HF从表面脱附,而留下金属钨与进一步的吸附位置。WF6的反应机制可概括如下:
WF6→WF5+F
H2→2H
WF5+6H+F→6HF+W
相信WCl6具有类似的反应机制。上述反应机制需要可用的催化剂以由H2形成H原子。缺少催化剂时,WF6的可用结合位置是速率限制的(rate limiting)。由于WN并非形成H原子的良好催化剂,所以薄钨层的氮化减慢体钨层的成核。
由于WN形成于特征的上侧壁与薄钨层的上表面上,所以优先成核位置转为特征底部。WF6吸附在所有可用表面上,但由于相较于WN表面,在钨表面上显著更佳地从H2催化形成原子氢,所以在钨表面处成核比在WN表面处慢。温度也影响从H2形成原子氢。就此而言,钨填充层的生长温度可在250℃与450℃之间,较佳实施方式为介于300℃与350℃之间。
方法200可包括形成第二成核层,如210所述。如先前所陈述,钨沉积后的后处理步骤大体上包括CMP和钨的回蚀刻,以提供具有钨填充的特征的平坦表面。因此,通常沉积保形钨层以保护下面的层,同时移除来自先前步骤的过量沉积。虽然成核与后续沉积最终会发生在WN表面上,但相信一旦特征被填充就强化WN表面上的生长是有利的,以为这些后处理步骤提供更加均匀的表面。
可使用钨卤化物(比如WF6或WCl6)沉积成核层。如在先前步骤中,钨卤化物得以吸附在薄钨层以及WN表面上。钨卤化物已吸附后,则能使用惰性气体(比如氩气)清除腔室的残余气体。随后可用反应物气体处理基板,所述反应物气体比如乙硼烷、甲硅烷、或乙硅烷,所述反应物气体与吸附的钨卤化物反应,而在吸附的表面上产生金属钨并且产生卤化物气体。如上文所述的那样清除卤化物气体,以防止进一步与基板相互作用。通过使用不需要来自钨的催化活性的反应物气体,薄钨层能再度沉积于暴露表面上而不需考虑来自WN的低催化活性。
方法200可包括使第二沉积气体反应,以沉积钨场层于第二成核层上,如212中所述。210中保形沉积的薄钨层能催化钨场层的形成。通过CVD工艺沉积钨场层。CVD工艺可以是任何可用的CVD工艺,比如热CVD工艺。用于CVD的反应物气体可包括钨卤化物(比如WF6或WCl6)以及含氢气体(比如H2)。在一个实施方式中对于钨场层的形成而言,含氢气体被催化而形成原子氢并非必要。针对此实施方式,在成核时钨与WN之间的选择性对于形成钨场层而言并非必需。
一旦钨场层随后沉积于第二成核层上达期望厚度,方法200可停止,如214中所述。在优选实施方式中,一旦沉积完成后,钨场层厚度介于之间。随后可将基板从腔室移出,以供进一步处理。
图3A至图3C是根据一或更多个实施方式描绘氮处理对钨成核延迟的影响的图。用于分析的基板不具有形成于表面上的特征。通过ALD工艺使用WF6与乙硼烷将薄钨层沉积在基板上。薄层是在5托尔下于300℃沉积的。薄钨层的整体厚度约是活化氮包含N2和Ar,且由功率大于1000瓦的远程等离子体活化。于每一图绘制在从0sccm至160sccm的变化的N2流量下的用于基板的培养时间。
图3A是描绘体钨沉积之前具有短暴露时间及高温的基板表面处理的图300。以在0、10、40、80与160sccm的数据点变化的N2流量用N2处理基板10秒。用N2处理后,基板在400℃下暴露至WF6与H2的标准混合物,以确定体钨沉积所需的培养时间。在这些参数下,氮处理对薄钨层上的沉积并无时间的显著效应。0sccm N2样本与其余数据点之间的培养时间的偏移小于1秒。
图3B是描绘具有长暴露时间及高温的基板表面处理的图302。以在0、10、40、80与160sccm的数据点变化的N2流量用N2处理基板60秒。用N2处理后,基板在400℃下暴露至WF6与H2的相同混合物,以进行体钨沉积。用N2进行60秒的处理显示用于体钨层的成核的培养时间显著增加。0sccm N2的样本与10sccm N2的样本之间的培养时间的偏移约为25秒。在这些参数下测试样本中见到的最大增加是100sccm和160sccm数据点的30秒延迟。
图3C是描绘在短时间及低温下基板表面处理的图304。以在0、10、40、80与160sccm的数据点变化的N2流量用N2处理基板10秒。用N2处理后,基板在300℃下暴露至WF6与H2的相同混合物,以进行体钨沉积。相较于图3A或图3B,用N2于300℃进行10秒的处理显示用于体钨层的成核的培养时间显著增加。0sccm N2的样本与10sccm N2的样本之间的培养时间的偏移约为75秒。增加流量显示相对于先前样本的进一步显著增加,而不会如图3B中那样明显变平。在这些参数下测试样本中见到的最大增加是160sccm数据点的约200秒延迟。基于这些数据点,预期随着流量增加而有进一步的增加。
在上述实施方式中,由于任何流量下的N2毒化(poison)造成的10秒处理培养延迟看来在用于沉积体钨层的较高温度下(比如高于400℃的温度)被抵销。因此,相信如果进行短时间段氮处理(比如10秒的N2处理),则应该在低于400℃的温度下沉积体钨层。暴露至较高N2流量达较长时间段的基板关于在较高温度下体钨层的后续沉积的较短培养延迟可被利用于进一步的实施方式,比如在一个步骤中进行钨填充层沉积与钨场层的后续沉积(而不形成第二薄钨层)。
进一步的实施方式可运用温度改变策略,以达成过孔中适当的钨填充以及适当的后续场沉积。例如,一个实施方式可包括:在高流量下(比如160sccm)氮化薄钨层;在低温(比如250℃与350℃之间)沉积钨填充层达一段时间,该段时间少于在WN层上进行沉积的培养时间;以及之后提高温度至高温(比如大于400℃)以沉积钨场层。本领域技术人员将了解到有各种变更(permutation),可在不背离于此揭示的实施方式的前提下执行这些变更。
图4A至图4D是根据一或更多个实施方式的具有填充特征的基板的放大图像。其上形成有多个特征的硅基板定位在处理腔室中。通过ALD工艺使用WF6与乙硼烷在基板上沉积薄钨层。在300℃于5托尔下沉积薄层。薄钨层的总厚度约为活化氮包含N2与Ar,且由远程等离子体在大于1000W的功率下活化。
图4A是用低氮流量的活化氮与低温体钨沉积进行预处理的基板的放大图像400。进一步用如上所述的活化氮于10sccm处理基板达10秒。氮处理后,通过CVD使用WF6和H2以300托尔的压强于300℃沉积体钨达120秒。图像400中可见三个特征,且外层为体钨层。基板中的特征具有体钨沉积物,所述体钨沉积物已于特征底部处开始生长在一起,因而防止缝隙形成。如图像400中所见,相较于特征底部,表面与侧壁上的成核减少。
图4B是用低氮流量的活化氮与高温体钨沉积进行预处理的基板的放大图像402。进一步用如上所述的活化氮于10sccm处理基板达10秒。氮处理后,通过CVD使用WF6和H2以300托尔的压强于400℃沉积体钨达30秒。图像402中可见三个特征,且外层为体钨层。如由图3B中的结果所预期的,即使存在氮化,成核也已在薄钨层的表面上开始。同样,有一些这样的迹象:缝隙已形成于这些特征的至少一个特征的底部处。
图4C是用高氮流量的活化氮与低温体钨沉积进行预处理的基板的放大图像404。进一步用如上所述的活化氮于160sccm处理基板达10秒。氮处理后,通过CVD使用WF6和H2以300托尔的压强于300℃沉积体钨达120秒。图像404中可见三个特征,且外层为体钨层。图像404显示在特征上部与上表面上成核显著地减少,而与特征底部相反。再者,特征中没有缝隙形成的迹象。
图4D是用高氮流量的活化氮与高温体钨沉积进行预处理的基板的放大图像406。进一步用如上所述的活化氮于10sccm处理基板达10秒。氮处理后,通过CVD使用WF6和H2以300托尔的压强于400℃沉积体钨达30秒。图像406中可见三个特征,且外层为体钨层。如于该图像中可见,缝隙已清楚地形成在架构中的所述三个特征中的两个特征中。同样,体钨层的侧壁生长与上表面生长等于或大于在特征底部处的生长。
活性氮扩散进入基板的上表面中,且看来具有进入特征的扩散梯度,而极少扩散进入特征底部上所设置的薄钨层中。如图4A至图4D中所示,表面处理期间高N2流量增强特征内(in-feature)钨CVD生长对上表面钨CVD生长的比例,相信此现象与WN形成的影响有相。再者,体钨的CVD低温沉积增强场对特征内的体钨生长选择性。图4C中显示这些选择性的增加是累积的。每一情况中,因为场区域的催化活性由于表面上WN的形成而降低,因此达成无空隙的特征填充。
结论
本发明的实施方式大体上提供形成与处理成核层的方法,以减慢被处理的表面上的钨沉积并改善特征填充。随着特征在尺寸上减小(比如小于20nm),那些特征中的适当钨填充变得更加困难。更接近基板上表面的特征区域中的沉积会抑制钨移动进入特征。通过对氮并入钨成核层的控制,可在期望较少沉积的区域中减慢钨的体CVD沉积,而在期望较多钨沉积的区域中能够以正常速率进行钨的体CVD沉积。
虽然前述内容针对本发明的实施方式,但可在不背离本发明的基本范围的情况下设计出本发明的其他及进一步的实施方式,且本发明的范围由后面的权利要求书确定。

Claims (15)

1.一种于CVD工艺中控制成核的方法,包括以下步骤:
将基板定位在处理腔室中,所述基板包括表面区域和间隙区域二者;
将包含钨的第一成核层保形地沉积于所述表面区域和所述间隙区域上;
用活化氮处理所述第一成核层的至少一部分,其中所述活化氮优先沉积于所述表面区域上;
使包含第一钨卤化物的第一沉积气体与第一含氢气体反应,以沉积钨填充层于所述第一成核层上,其中所述钨填充层优先沉积在所述基板的间隙区域中;
使包含第二钨卤化物的成核气体反应,以形成第二成核层于所述钨填充层上;以及
使包含第三钨卤化物的第二沉积气体与第二含氢气体反应,以沉积钨场层于所述第二成核层上。
2.如权利要求1所述的方法,其中所述钨填充层与所述钨场层中至少之一在250℃与350℃之间的温度沉积。
3.如权利要求1所述的方法,其中所述第一钨卤化物、所述第二钨卤化物或所述第三钨卤化物中至少之一选自由六氟化钨(WF6)、六氯化钨(WCl6)及前述物质的组合所组成的群组。
4.如权利要求1所述的方法,其中活化氮包含源气体,所述源气体选自由N2、NH3、H2、Ar、He、Ne及前述气体的组合所组成的群组。
5.如权利要求1所述的方法,其中用活化氮处理所述基板达10秒或更短的时间段。
6.如权利要求1所述的方法,其中在大于400℃的温度下沉积所述钨场层。
7.如权利要求1所述的方法,其中用活化氮处理所述基板达至少30秒的时间段。
8.一种于CVD工艺中控制成核的方法,包括以下步骤:
将基板定位在处理腔室中,所述基板具有暴露表面,所述暴露表面包括表面区域和间隙区域二者;
使包含第一钨卤化物的第一成核气体与反应物气体反应,以沉积第一成核层于所述暴露表面上;
由含氮气体形成等离子体,以产生活化氮;
用所述活化氮处理所述第一成核层的至少一部分,其中所述活化氮优先沉积于所述表面区域上;
使包含第二钨卤化物的第一沉积气体与第一含氢气体反应,以沉积钨填充层于所述第一成核层的至少一部分上;
使包含第三钨卤化物的第二成核气体与所述反应物气体反应,以沉积第二成核层于所述钨填充层上;以及
使包含六氟化钨的第二沉积气体与氢气反应,以沉积钨场层于所述第二成核层上。
9.如权利要求8所述的方法,其中所述钨填充层和所述钨场层中至少之一在250℃与350℃之间的温度下沉积。
10.如权利要求8所述的方法,其中所述活化氮由远程等离子体形成。
11.如权利要求8所述的方法,其中所述活化氮以等离子体形式运送至所述基板。
12.如权利要求8所述的方法,其中所述第一钨卤化物、所述第二钨卤化物或所述第三钨卤化物中至少之一选自由六氟化钨(WF6)、六氯化钨(WCl6)及前述物质的组合组成的群组。
13.如权利要求8所述的方法,其中活化氮包含源气体,所述源气体选自由N2、NH3、H2、Ar、He、Ne及前述气体的组合所组成的群组。
14.如权利要求8所述的方法,其中用活化氮处理所述基板达至少30秒的时间段。
15.如权利要求8所述的方法,其中在形成每一成核层后,使用惰性气体净化所述腔室。
CN201380053240.1A 2012-10-11 2013-08-20 通过控制表面组成来调控钨生长 Active CN104813444B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201810259666.7A CN108538715B (zh) 2012-10-11 2013-08-20 通过控制表面组成来调控钨生长

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261712648P 2012-10-11 2012-10-11
US61/712,648 2012-10-11
US13/968,057 US9169556B2 (en) 2012-10-11 2013-08-15 Tungsten growth modulation by controlling surface composition
US13/968,057 2013-08-15
PCT/US2013/055833 WO2014058536A1 (en) 2012-10-11 2013-08-20 Tungsten growth modulation by controlling surface composition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201810259666.7A Division CN108538715B (zh) 2012-10-11 2013-08-20 通过控制表面组成来调控钨生长

Publications (2)

Publication Number Publication Date
CN104813444A true CN104813444A (zh) 2015-07-29
CN104813444B CN104813444B (zh) 2018-04-20

Family

ID=50475557

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201380053240.1A Active CN104813444B (zh) 2012-10-11 2013-08-20 通过控制表面组成来调控钨生长
CN201810259666.7A Active CN108538715B (zh) 2012-10-11 2013-08-20 通过控制表面组成来调控钨生长

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201810259666.7A Active CN108538715B (zh) 2012-10-11 2013-08-20 通过控制表面组成来调控钨生长

Country Status (5)

Country Link
US (1) US9169556B2 (zh)
KR (1) KR102197537B1 (zh)
CN (2) CN104813444B (zh)
TW (2) TWI673386B (zh)
WO (1) WO2014058536A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108560030A (zh) * 2018-06-01 2018-09-21 上海华力集成电路制造有限公司 金属钨的沉积方法
CN113013093A (zh) * 2021-02-24 2021-06-22 上海华力集成电路制造有限公司 一种连接孔的钨填充工艺方法

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN104272441A (zh) 2012-03-27 2015-01-07 诺发系统公司 钨特征填充
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
JP6554418B2 (ja) * 2013-11-27 2019-07-31 東京エレクトロン株式会社 タングステン膜の成膜方法および成膜装置
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9153482B2 (en) 2014-02-03 2015-10-06 Lam Research Corporation Methods and apparatus for selective deposition of cobalt in semiconductor processing
US9653352B2 (en) * 2014-04-11 2017-05-16 Applied Materials, Inc. Methods for forming metal organic tungsten for middle of the line (MOL) applications
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) * 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) * 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
KR102447489B1 (ko) 2015-09-02 2022-09-27 삼성전자주식회사 반도체 메모리 소자
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US10438847B2 (en) 2016-05-13 2019-10-08 Lam Research Corporation Manganese barrier and adhesion layers for cobalt
US10573522B2 (en) * 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
TWI700799B (zh) 2016-10-04 2020-08-01 聯華電子股份有限公司 導電結構、包含導電結構之佈局結構以及導電結構之製作方法
US9735015B1 (en) 2016-12-05 2017-08-15 United Microelectronics Corporation Fabricating method of semiconductor structure
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
SG11202001268TA (en) 2017-08-14 2020-03-30 Lam Res Corp Metal fill process for three-dimensional vertical nand wordline
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
KR20210087551A (ko) * 2018-11-30 2021-07-12 램 리써치 코포레이션 메모리 어플리케이션들을 위한 라인 벤딩 (bending) 제어
CN113166929A (zh) 2018-12-05 2021-07-23 朗姆研究公司 无空隙低应力填充
CN113424300A (zh) 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积
CN113366144B (zh) 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
US10867808B1 (en) * 2019-07-09 2020-12-15 United Microelectronics Corp. Manufacturing method of connection structure
WO2021150625A1 (en) 2020-01-23 2021-07-29 Applied Materials, Inc. Method of cleaning a structure and method of depositiing a capping layer in a structure
US20210384035A1 (en) * 2020-06-04 2021-12-09 Applied Materials, Inc. Fluorine-Free Tungsten ALD And Tungsten Selective CVD For Dielectrics
US20220359279A1 (en) * 2021-05-10 2022-11-10 Applied Materials, Inc. Methods of forming void and seam free metal features
US20230023235A1 (en) * 2021-07-26 2023-01-26 Applied Materials, Inc. Enhanced stress tuning and interfacial adhesion for tungsten (w) gap fill

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200626748A (en) * 2005-01-19 2006-08-01 Applied Materials Inc Methods for depositing tungsten layers employing atomic layer deposition techniques
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
CN101308794A (zh) * 2007-05-15 2008-11-19 应用材料股份有限公司 钨材料的原子层沉积
US20090149022A1 (en) * 2007-12-05 2009-06-11 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
CN102084462A (zh) * 2008-08-29 2011-06-01 诺发系统有限公司 用于降低钨粗糙度并改进反射率的方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5906866A (en) 1997-02-10 1999-05-25 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
US6358844B1 (en) 2000-06-01 2002-03-19 Taiwan Semiconductor Manufacturing, Company, Ltd Tungsten deposition process with dual-step nucleation
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6635965B1 (en) * 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7262125B2 (en) * 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
KR100455382B1 (ko) * 2002-03-12 2004-11-06 삼성전자주식회사 듀얼 다마신 구조를 가지는 반도체 소자의 금속 배선 형성방법
US6905543B1 (en) * 2002-06-19 2005-06-14 Novellus Systems, Inc Methods of forming tungsten nucleation layer
KR20050011479A (ko) 2003-07-23 2005-01-29 주식회사 하이닉스반도체 반도체 소자의 텅스텐 콘택플러그 형성방법
KR20060074762A (ko) 2004-12-28 2006-07-03 동부일렉트로닉스 주식회사 반도체 소자 제조 시 텅스텐 콘텍 형성방법
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US20120199887A1 (en) * 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
US8865594B2 (en) 2011-03-10 2014-10-21 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
CN105453230B (zh) * 2013-08-16 2019-06-14 应用材料公司 用六氟化钨(wf6)回蚀进行钨沉积

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
TW200626748A (en) * 2005-01-19 2006-08-01 Applied Materials Inc Methods for depositing tungsten layers employing atomic layer deposition techniques
CN101308794A (zh) * 2007-05-15 2008-11-19 应用材料股份有限公司 钨材料的原子层沉积
US20090149022A1 (en) * 2007-12-05 2009-06-11 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
CN102084462A (zh) * 2008-08-29 2011-06-01 诺发系统有限公司 用于降低钨粗糙度并改进反射率的方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108560030A (zh) * 2018-06-01 2018-09-21 上海华力集成电路制造有限公司 金属钨的沉积方法
CN108560030B (zh) * 2018-06-01 2019-11-19 上海华力集成电路制造有限公司 金属钨的沉积方法
CN113013093A (zh) * 2021-02-24 2021-06-22 上海华力集成电路制造有限公司 一种连接孔的钨填充工艺方法

Also Published As

Publication number Publication date
TW201835368A (zh) 2018-10-01
CN108538715A (zh) 2018-09-14
KR20150063562A (ko) 2015-06-09
TWI623643B (zh) 2018-05-11
KR102197537B1 (ko) 2020-12-31
TWI673386B (zh) 2019-10-01
TW201416480A (zh) 2014-05-01
CN104813444B (zh) 2018-04-20
WO2014058536A1 (en) 2014-04-17
CN108538715B (zh) 2023-03-14
US20140106083A1 (en) 2014-04-17
US9169556B2 (en) 2015-10-27

Similar Documents

Publication Publication Date Title
CN104813444A (zh) 通过控制表面组成来调控钨生长
US10580645B2 (en) Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US20210327754A1 (en) Tungsten feature fill
TWI758398B (zh) 用於在基板上形成鈷層的方法
US8835311B2 (en) High temperature tungsten metallization process
US8900999B1 (en) Low temperature high pressure high H2/WF6 ratio W process for 3D NAND application
JP2022184943A (ja) モリブデンを含有する低抵抗膜
US9275865B2 (en) Plasma treatment of film for impurity removal
JP2024038138A (ja) 3次元垂直nandワード線用の金属充填プロセス
KR20190005258A (ko) 금속들 및 금속 함유 화합물들의 산화성 부피 팽창
KR101631783B1 (ko) 컨포멀한 금속 실리사이드 막들을 형성하는 방법
TW200914630A (en) Atomic layer deposition of tungsten materials
KR102227347B1 (ko) 3d 메모리 구조들에서의 고종횡비 홀 형성에 대한 상향식 접근법
CN114664729A (zh) 半导体集成电路装置的接触插塞形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant