TWI665760B - 用於消去式金屬積體化的襯墊及阻障層應用 - Google Patents

用於消去式金屬積體化的襯墊及阻障層應用 Download PDF

Info

Publication number
TWI665760B
TWI665760B TW104120873A TW104120873A TWI665760B TW I665760 B TWI665760 B TW I665760B TW 104120873 A TW104120873 A TW 104120873A TW 104120873 A TW104120873 A TW 104120873A TW I665760 B TWI665760 B TW I665760B
Authority
TW
Taiwan
Prior art keywords
dielectric
processing
semiconductor substrate
layer
copper
Prior art date
Application number
TW104120873A
Other languages
English (en)
Other versions
TW201614770A (en
Inventor
惠榮 吳
湯瑪斯 喬瑟夫 尼斯利
納葛 珊卡
美華 沈
約翰 黃
普力圖 沙瑪
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201614770A publication Critical patent/TW201614770A/zh
Application granted granted Critical
Publication of TWI665760B publication Critical patent/TWI665760B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02697Forming conducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

本文提供透過消去式蝕刻與襯墊沉積方法來製造金屬內連線、線路、或穿孔的方法與技術。 方法涉及沉積全面性銅層;將部分的該全面性銅層移除,以形成圖案;對已圖案化的金屬進行處理;沉積銅-介電質介面材料,使得該銅-介電質介面材料僅吸附於該已圖案化的銅上;在基板上沉積介電質阻障層;以及在基板上沉積介電質主體層。

Description

用於消去式金屬積體化的襯墊及阻障層應用
本發明係關於用於消去式金屬積體化的襯墊及阻障層應用。
就半導體裝置的製造方法而言,製造有效力的(effective)金屬內連線、線路、及穿孔係關鍵性的。鑲嵌方法係被使用於形成銅內連線的主要的積體電路生產技術。然而,因為待製造於半導體裝置中的臨界尺寸更小的特徵部,傳統的鑲嵌方法不適用於22nm以後的先進製程節點。
本文提供的係透過消去式蝕刻與襯墊沉積方法來製造金屬內連線、線路、或穿孔的方法。 一態樣涉及透過下列動作完成的半導體基板的處理方法:對全面性(blanket)銅層執行消去式蝕刻,以形成特徵部的圖案;對已圖案化的銅進行處理(treat),以使該銅的表面還原(reduce);以及在該基板上沉積一介電質層。
在許多實施例中,該圖案包括特徵部,且透過沉積全面性銅層所形成的晶粒的尺寸,平均而言大於透過消去式蝕刻所形成的特徵部的尺寸。在許多實施例中,該圖案中的線路具有介於約5:1與約1:1的深寬比。
在多樣的實施例中,該方法更包括在執行消去式蝕刻之前,在該基板上沉積一或更多的底層(underlayer),使得該全面性銅層係被沉積在一或更多的底層之上。在許多實施例中,該一或更多的底層的其中之一包括鉭及/或鉭氮化物。在多樣的實施例中,執行消去式蝕刻更包括將部分的該全面性銅層移除,以形成特徵部。
在多樣的實施例中,對該已圖案化的銅進行處理係透過將銅暴露到還原劑而達成,例如氫氣(H2 )、氨氣(NH3 )、或氫氣/氮氣(H2 /N2 )。在許多實施例中,對該已圖案化的銅進行處理之動作修復(repair)該銅的表面。在多樣的實施例中,將該已圖案化的銅暴露到UV光。在多樣的實施例中,使用遠端式電漿或原位式電漿來增強銅的表面修復。在一些實施例中,該已圖案化的銅同時地被處理以及被暴露到UV光。該處理可發生約在1秒與約300秒之間的時間。在處理期間的溫度可介於約100℃與約400℃、或介於約200℃與約400℃。
在許多實施例中,沉積該介電質層的動作包括沉積一介電質阻障層。在許多實施例中,該介電質阻障層包括高k(介電常數)材料,使得k大於或等於3、或使得k大於或等於4。在一些實施例中,將該介電質阻障層沉積到小於約3nm的一厚度值。在多樣的實施例中,該介電質層被沉積以留下空氣間隔(air gaps)。
在許多實施例中,該方法包括對一全面性銅層執行消去式蝕刻,以形成特徵部的圖案;處理已圖案化的銅,以使該銅的表面還原;在該已圖案化的銅上選擇性沉積一銅-介電質介面材料;以及在該基板上沉積一介電質層。在一些實施例中,利用光阻劑作為圖案化遮罩以對該全面性銅層執行消去式蝕刻。在多樣的實施例中,該銅-介電質介面材料為鈷,其透過化學氣相沉積或原子層沉積來沉積。在許多實施例中,該銅-介電質介面材料對於銅的附著能至少約5J/m2 、或至少約10J/m2 。在一些實施例中,將該銅-介電質介面材料沉積到小於約30Å、或約20Å的一厚度值。在一些實施例中,該方法亦包括對介電質層進行異向性蝕刻。該介電質層可為介電質阻障層或襯墊層、或介電質主體層(dielectric bulk layer)。
在一些實施例中,該方法包括蝕刻該介電質層,以形成至少一介電質間隔物,使得該介電質間隔物包括選自下列所組成之群組的材料:鋁氧化物、SiOC、SiCN、及矽氧化物。
另一態樣涉及透過下列動作完成的在一半導體基板上形成金屬內連線的方法: 對一全面性金屬層執行消去式蝕刻,以形成特徵部的圖案;對已圖案化的金屬進行處理;在該金屬上選擇性沉積一金屬-介電質介面層;以及在該基板上沉積一介電質層。在許多實施例中,沉積介電質層之動作包括沉積一介電質阻障層。在一些實施例中,利用光阻劑作為圖案化遮罩以對該金屬執行消去式蝕刻。
更另一態樣涉及一設備,其配置係用以處理半導體基板,其包括:(a)一沉積腔室,其包括一噴淋頭、一基板固持器、以及一或更多的氣體入口;以及(b) 用於控制該設備中的操作的一控制器,其包括機器可讀指令,用於將蝕刻反應物引入該沉積腔室中,以對基板上的全面性銅層執行消去式蝕刻,俾形成特徵部的圖案;將處理反應物引入該沉積腔室中,以使銅的表面還原;引入第一組前驅物,以在已圖案化的銅上選擇性沉積銅-介電質介面材料;以及引入第二組前驅物,以在基板上沉積介電質層。在一些實施例中,該控制器包括透過下列動作對全面性銅層執行消去式蝕刻的指令:沉積一光阻劑、將該光阻劑圖案化、以及使用該光阻劑作為遮罩來蝕刻銅。
於下方將參考圖式更進一步描述該等與其他態樣。
為提供本文中呈現之實施例的全面性的認識,將於下列實施方式中闡述多個具體細節。毋須一些或全部之該等具體細節即可實施所揭露之實施例。在其他例子中,為了避免不必要地混淆所揭露之實施例,熟知的處理作業將不再贅述。雖然所揭露之實施例將聯合具體實施例一起描述,但應知悉的係吾人不欲限制所揭露之實施例。
「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、及「部分已製成積體電路」等用語可互換地使用。「部分已製成積體電路」指涉在其上的許多積體電路製程階段中之任一期間的矽或其他半導體晶圓。使用於半導體裝置產業的基板典型地具有200mm或300mm的直徑,但該產業正朝向採用450mm直徑之基板。本文提供之流量速率與條件適用於300mm基板的處理。一般熟悉本技藝者知悉的係,可視其他尺寸的基板所需來調整該等流量。功率位準與流量速率大致上隨著站的數量與基板的面積而線性地調整比例(scale)。流量速率與功率以每一面積為基礎來表示。除了用於在半導體基板上沉積薄膜的反應腔室之外,其他類型的沉積反應器亦可利用所揭露之實施例。可受益於所揭露之實施例的其他類型的反應器包括用於製造多樣的物件(例如印刷電路板、顯示器、以及其他)者。
半導體製程通常涉及製造金屬內連線,例如銅穿孔與線路。製造銅內連線的習知方法為鑲嵌方法。該鑲嵌方法典型上涉及下列一般性步驟:(1) 在一基板上沉積一介電質層,(2)蝕刻該介電質層,以形成凹槽或穿孔,(3) 在該凹槽或穿孔中取捨性地沉積一阻障層,(4) 以金屬(例如銅)填充該凹槽或穿孔。例示性阻障層包括鉭/鉭氮化物(Ta/TaN)。在一些鑲嵌方法中,之後在該基板上方沉積一介電質蝕刻終止層,以將金屬穿孔鈍化。在一例示性鑲嵌方法中 ,透過該鑲嵌方法將銅沉積在一基板上的一介電質層的凹槽或穿孔中,以及在形成銅穿孔之後,在該介電質層上沉積其後的一介電質層,例如氮碳化矽(SiCN)。
雖然該產業使用鑲嵌方法已有許多年,但就22nm及之後的製程節點的金屬內連線製造而言,鑲嵌方法並非係最佳的。隨著裝置微型化,特徵部變得更小、深寬比增加、且凹槽與穿孔(在其中必須沉積金屬)變得更狹窄。在習知的鑲嵌方法中,金屬係透過例如銅電鍍(或電鍍銅)的方法被填入凹槽中,而金屬的成長係從該凹槽中的表面開始。因此,在結果的金屬特徵部中形成的金屬晶粒之尺寸因為該凹槽的較小的尺寸而受到限制。因為較小的金屬晶粒通常具有較高的電阻率,所以在此種臨界尺寸較小的凹槽中透過鑲嵌方法所形成的金屬內連線具有較高的電阻率,而因此效力比所期望的低。
圖1描繪透過習知保形沉積方法所沉積的金屬凹槽的橫剖面。凹槽101被具有晶界105的金屬103填充。凹槽101的臨界尺寸(CD)相當地狹窄。因此,其成長被限制在凹槽101的大約一半寬度的尺寸。金屬的傳導性在某種程度上係由所沉積之金屬的晶粒尺寸來決定。所沉積之金屬具有較大的晶粒尺寸,則更具傳導性。這是因為每當在金屬中前進的電子碰撞晶界時,便會散射(scatter)。電子在移動期間散射使金屬的傳導性降低。
金屬內連線製程中的另一顧慮為電致遷移(electromigration) 效應以及潛在的(potential)介面孔洞形成(因電致遷移而產生)。電致遷移係發生在金屬與相鄰的介電質層間之介面上,發生在當電流流經半導體裝置而前進中的電子迫使位在金屬與介電質介面上的金屬原子移動時。該等金屬原子從線路的一端移動到另一端,由此在線路的一端形成金屬原子的小型聚集作用(aggregation),並在電致遷移開始之處形成孔洞。該等孔洞可能導致電致遷移失敗(electromigration failure)。
金屬內連線製程中更進一步的顧慮為金屬擴散效應。在金屬線路與介電質相鄰之處,金屬原子可能擴散進入該介電質,由此降低該介電質的可靠度。
金屬內連線製程中的又另一顧慮為金屬內連線與所有其他相鄰的層之間的附著性。在標準的內連線中,金屬內連線的底部與一阻障層相鄰,而該阻障層係在該內連線形成之前被沉積在基板上。該阻障層通常為金屬襯墊。然而,該金屬內連線的頂部表面通常與介電質層相鄰,而金屬-介電質介面的附著能小於金屬-金屬介面的附著能。為了確保較長的裝置壽命,在金屬-介電質介面上的高附著能係重要的,使得在該介面上的強作用力避免金屬原子在電流流動的同時遷移,藉此最小化電致遷移。
在金屬內連線的頂部表面上,為了提高附著性並且減少電致遷移孔洞形成(在金屬-介電質介面附近的),選擇性蓋部(cap)沉積方法已被用於透過鑲嵌方法所形成的金屬內連線上。關於銅金屬的選擇性蓋部之說明載於美國專利案第8278216號,案名為「SELECTIVE CAPPING OF COPPER」,該案以全文加入本案之參考資料。在選擇性蓋部沉積中,在凹槽已透過銅電鍍被填充金屬之後,沉積一選擇性材料。該選擇性材料僅沉積於基板表面的金屬部分,使其對該金屬具有選擇性並在該金屬線路上形成一蓋部,而沒有選擇性材料被沉積在介電質的表面之上。例如,鈷可被沉積在銅線路上,使得薄的一鈷蓋部形成於該銅線路的頂部表面之上。該選擇性蓋部與該金屬形成介面,使得金屬-金屬介面的附著能高於金屬-介電質介面的附著能。然而,在該線路中仍然存在較小的金屬晶粒,產生傳導性較低的內連線,且晶界亦可能通過晶界擴散而造成孔洞形成。因此,吾人期望製造的金屬內連線係具有大且低電阻率的晶粒、減少的電致遷移、減少的孔洞形成、以及提高的附著性。
本文提供製造低電阻率的金屬內連線的方法,該金屬內連線具有減少的電致遷移以及提高的附著力。該等方法涉及對已圖案化的金屬(透過消去式蝕刻而形成)的處理、以及在該已圖案化的金屬上的層級的沉積。結果的金屬內連線表現出較低的電阻率(由於透過沉積全面性金屬層而形成的較大的晶粒)、減少的電致遷移失敗(因為在金屬-介電質介面上的層級的沉積)、以及改良的介面完整性。本文所述之方法幫助減少在金屬線路或穿孔之間的滲漏(leakage)、保護金屬-介電質介面、以及避免在線路或穿孔之間的金屬擴散。在所揭露之實施例中的用語「線路」,係指涉可由本文所述之方法產生的積體電路製程型態的一範例。雖然在接下來的討論中描述線路,但所揭露之實施例可被應用於其他型態的特徵部,例如內連線、穿孔、及接觸點。在線路與接觸點中,寬度指涉從線路或接觸點的一邊跨到另一邊的最短距離。如本文中之用語「尺寸」,係指涉從特徵部的一邊到另一邊的距離。雖然以下之揭露使用「銅」之用語,但應知悉的係,所揭露之實施例可應用到合適於其他金屬內連線之形成的其他金屬與其他化學品。
圖2為描述一方法(載於所揭露之實施例中)之操作的一流程圖。在操作202中,將一基板提供到一處理腔室中。在許多實施例中,該基板包括一介電質層。例如,該基板可為在一矽基板上的一部分已製成積體電路。
在操作204中,在該基板上取捨性地形成一或更多的底層(例如阻障底層)。阻障底層可減少金屬離子擴散。因此,在許多實施例中,若待沉積在該基板上的金屬易於擴散,可在沉積該金屬之前沉積一或更多的阻障底層。若其後的待沉積的金屬不易離子擴散,在操作204中的阻障底層沉積係取捨性的。以下的說明假設該基板上存在有一阻障層。在銅與阻障底層之間可沉積取捨性襯墊(例如鉭(Ta)、或鈷(Co)),以增強附著性並避免電致遷移失敗。
阻障底層的範例包括金屬氮化物(例如鉭氮化物)、或金屬(例如鉭)。在一些實施例中,該阻障底層為一Ta/TaN襯墊。其他例示性阻障層包括鈦氮化物/鈦(TiN/Ti)、或鎢氮化物/鈦(WN/Ti)。在許多實施例中,可沉積一或更多的阻障底層。例如,可沉積兩層的阻障底層,其中一層為鉭氮化物層,而第二層為鉭層。在一些實施例中,該鉭層被沉積在該鉭氮化物層之上。在許多實施例中,阻障底層係透過下列處理來沉積:物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)、或脈衝成核層(PNL,pulsed nucleation layer)沉積。在許多實施例中,若待沉積的金屬為銅,在操作204中沉積一Ta/TaN阻障底層。
在PNL或CVD處理中,可在一真空腔室中將基板加熱到處理溫度,然後接續地,在該基板上沉積極薄的一金屬層。之後,將金屬薄膜的餘料(remainder)沉積在該極薄的金屬層上,透過例如電鍍或CVD。在一些實施例中,該極薄的金屬層為晶種層。通常,晶種層為薄的層,其有用於促進其後的主體(bulk)材料形成於其上。多種方法可用於形成晶種層,包括(但不限於)CVD方法、ALD方法、以及PNL沉積方法。
在PNL技術中,典型上透過反應物之間的沖洗氣體的脈衝,將反應物的脈衝依序地注入反應腔室以及從反應腔室中沖除。第一反應物典型上吸附於基板上,可用於和下一反應物反應。以一循環的方式重複此處理直到達到所期望的厚度為止。因此,PNL類似於ALD技術。總的來說,PNL與ALD不同之處在於其操作壓力範圍較高(高於1Torr)、以及其每循環的成長速率較大(每循環大於1單層薄膜成長)。在本文所提供之說明內文中,PNL廣泛地包括將反應物依序地添加在一半導體基板上以進行反應的任何循環性處理。因此,此概念包括慣例上稱為ALD的技術。在本文所提供之說明內文中,CVD包括將反應物共同地引入一反應器中以進行氣相反應的過程。PNL與ALD處理與CVD處理不同,且反之亦然。一旦形成該晶種層後,透過CVD來沉積一金屬薄膜。在此操作中,將一還原劑與一前驅物引入一沉積腔室中,以在該基板上沉積一主體層。可使用一惰性載氣來輸送一或更多的反應物氣流(可預先混合或不預先混合)。與PLD或ALD處理不同的係,此操作通常涉及連續地流動該等反應物,直到已沉積所期望的量為止。在某些實施例中,該CVD操作可於複數個階段(stage)發生,其所具有之反應物連續且同時流動的複數個期間係由一或更多的反應物的流動轉向的期間而分隔。
回到圖2中,在操作206中,在該基板上沉積一全面性金屬層(例如全面性銅層)以形成大的銅晶粒,該全面性金屬層隨後將形成線路。該等銅晶粒更大係因為該等晶粒未被狹窄的晶界(例如凹槽的側壁)限制。在一些實施例中,將該全面性銅層沉積到比待形成之線路的高度更厚的一厚度值。可透過任何習知方法來沉積全面性銅層,例如銅電鍍(例如透過電化學沉積,ECD)、PVD、CVD,或PVD之後接續ECD的組合。除了銅之外,其他的全面性金屬層包括鋁以及銀。
利用PVD的銅沉積可透過將銅濺鍍於基板上一段時間而完成,該段時間少於約5分鐘、或介於約1秒到約5分鐘、或少於約1分鐘。可使用一平面(plannar)靶或中空陰極磁控管 (HCM,hollow cathode magnetron) 靶作為銅的來源,俾將全面性銅沉積在該基板上。可使用體積小於約100mL的一載氣將銅輸送至該基板。例如,利用PVD的銅沉積可包括在介於約-40℃與約100℃的溫度下、在小於約1x10-6 Torr的腔室壓力下,使用氬氣作為載氣將銅濺鍍於基板上一段少於約1分鐘的時間。
全面性銅層之沉積可透過PVD與ECD的組合來達成。針對PVD的部分(component),可使用體積小於約100mL的氬氣作為載氣將銅直接地濺鍍於基板上。該PVD的部分可執行在介於約-40℃與約100℃的溫度下,而腔室壓力小於約1x10-6 Torr。PVD可執行一段少於約1分鐘的時間。針對ECD可使用硫酸銅(II)(CuSO4 )的水溶液。ECD可執行在室溫下(而腔室壓力為大氣壓力)一段少於約3分鐘的時間。關於使用ECD的銅沉積之說明載於美國專利案第6074544號,案名為「METHOD OF ELECTROPLATING SEMICONDUCTOR WAFER USING VARIABLE CURRENTS AND MASS TRANSFER TO OBTAIN UNIFORM PLATED LAYER」,該案以全文加入本案之參考資料。
回到圖2,在操作208中,可取捨性地將該全面性銅層平坦化,例如透過化學機械拋光(CMP)、或其他平坦化處理。
圖3描繪一基板使用操作202-208所沉積的層級的範例的一示意圖。如圖所示,阻障底層303與305沉積在基板301上,例如前文參考圖2中的操作204所述。在某些實施例中,阻障底層303為鉭氮化物,而阻障底層305為鉭金屬襯墊。全面性銅層307沉積在阻障底層305之頂部,例如前文參考操作206所述。
回到圖2,在操作210中,對該全面性銅層執行消去式蝕刻,以將具有大晶粒的線路界定出來。操作210中的消去式蝕刻可透過任何適當的圖案化方法來執行。在操作210a-210c中提供一範例。
在操作210a中,在該全面性銅層之上沉積一或更多的遮罩及/或阻障層。該阻障層可透過CVD、PVD、或ALD來沉積。在許多實施例中,該阻障層在該銅與遮罩(用於對銅進行消去式蝕刻)之間作為一阻障。在許多實施例中,被沉積的遮罩中的至少一者為鉭/鉭氮化物金屬硬遮罩層。在多樣的實施例中,在該全面性銅層上沉積一阻障層(例如薄的一介電質阻障層),在該介電質阻障層上沉積一金屬遮罩層,在該金屬遮罩上沉積一碳層,以及在該碳層上沉積一SiON或SiOC層。在一些例子中,該薄的介電質阻障層為SiN或SiCN層。在一些實施例中,該金屬遮罩為鉭、或鉭氮化物、或其組合。
在操作210b中,在該遮罩上沉積一光阻劑。該光阻劑可為具有光致變位置(photoactive sites)之有機薄膜,其係針對欲形成於該全面性銅層上之圖案而植入。圖4描繪該等層的示意圖,該等層被沉積使得阻障或遮罩層331沉積於全面性銅層307上,以及光阻劑333沉積於阻障或遮罩層331上。應注意的係,雖然圖4描繪單一個阻障或遮罩層331,但在該基板上可存在有許多個阻障層與遮罩層。接續地,可應用微影製程以於光阻劑中界定圖案。
例如,在全面性層中可應用微影製程將金屬的位置界定出來。習知的微影製程涉及沉積一光阻劑;將光集中於該光阻劑上;暴光該光阻劑;以及根據該光阻劑為正或負光阻劑,而將該光阻劑暴光或未暴光的部分移除。考慮微影製程的所有的可能變化,且所選擇的應合適於特定的製程節點(該製程在其中實施)。例如,可應用電子束微影(electron beam lithography),在其中使用電子束用於代替集中的光而將圖案界定出來。應注意的係,針對某些製程節點(例如22nm以下之節點),適當的係使用浸潤式為基礎的光微影製程(immersion based photolithography process)。針對10nm以下之節點或7nm以下之節點,適當的係使用超紫外光微影。
回到圖2,在操作210c 中,使用該光阻劑作為遮罩將該全面性銅層圖案化。在許多實施例中,該操作包括蝕刻與形成該遮罩,之後接著使用該遮罩來蝕刻銅。蝕刻與形成該遮罩包括多樣的操作,且可使用習知技術來執行。在一些實施例中,所沉積之光阻劑被顯影並被用於將直接地相鄰於該光阻劑的SiON或SiOC層圖案化。將該光阻劑剝離之後,接續地將SiON或SiOC的圖案轉移至下伏的一碳遮罩。在一些實施例中,該碳遮罩之後被用於蝕刻一阻障金屬硬遮罩,例如沉積於該銅上的鉭或鉭氮化物層。該鉭或鉭氮化物金屬硬遮罩之後可被用於在蝕刻該銅之時作為遮罩。
回到圖2,在一些實施例中,在操作210c 中,透過(例如)執行乾式蝕刻將該全面性銅層圖案化。在某些實施例中,該蝕刻係經兩個階段來執行:第一非等向性蝕刻之後接續一等向性蝕刻,該非等向性蝕刻產生稍微過大的線路,該等向性蝕刻將該過大的線路修正至適當的最終尺寸。該等蝕刻均典型上係使用氣態的蝕刻劑來執行。
可使用氦氣、連同其他添加物來執行消去式蝕刻。添加物的範例包括氫氣(H2 )、甲烷(CH4 )、氖氣、氬氣、或其組合。以約在0sccm與約200sccm之間、或上至1000sccm的流速將氫氣、氖氣、或氬氣(若被使用作為添加物)流入腔室中。以在約0sccm與約50sccm之間的流速來流動甲烷。以在約200sccm與約1000sccm之間的流速來流動氦氣。腔室壓力介於約4mTorr與約80mTorr。該銅之蝕刻可使用一電漿,其具有約50到約300Vb之偏壓以及介於約500W與約1500W的TCP功率。操作210a-210c可在介於約60℃與約120℃的溫度下執行。
可使用複數個步驟執行消去式銅蝕刻。例如,在有或無氫氣、氦氣、及/或氬氣作為載氣的情況下,將銅暴露到甲烷基化學品。接續地,將銅暴露到氦氣(連同其他添加物)。可使用此兩個步驟之複數個循環,以改良凹槽蝕刻深度微負載(microloading)與線路邊緣粗糙度。
以鎢內連線作為脈絡的關於消去式蝕刻的詳細說明載於美國專利案第8617982號,授權日為2013年12月31日,案名為「SUBTRACTIVE PATTERNING TO DEFINE CIRCUIT COMPONENTS」,該案以全文加入本案之參考資料。
圖6為透過消去式蝕刻(參考操作210而描述)而形成之已圖案化的銅線路之範例的示意圖解。將全面性銅層307消去式蝕刻以藉此形成已圖案化的銅307’,已圖案化的銅307’中之各者位在阻障底層203與305上。在一些實施例中,已圖案化的銅307’形成銅線路。如圖所示,在已圖案化的銅307’上,光阻劑333已被剝離,剩下遮罩或阻障層331。在一些實施例中,遮罩或阻障層331亦被剝離。在多樣的實施例中,線路具有至少為1:1、至少為2:1、或至少為3:1、或至少為5:1、或至少為10:1的深寬比。在一些實施例中,線路具有介於約5:1與約1:1、或介於約3:1與約1:1、或介於約3:1與約2:1的深寬比。在許多實施例中,在金屬線路(透過消去式蝕刻而形成)之間的間隔寬度可小於約20nm。例如已圖案化的銅可具有形成為彼此距離小於約20nm的線路。在多樣的實施例中,透過消去式蝕刻而產生的線路之寬度不大於約25nm、或不大於約15nm。在許多應用中,穿孔或線路之寬度介於約10nm 與約20nm。應知悉的係,具有透過消去式蝕刻而產生的線路或穿孔的裝置,可具有一範圍值(或分佈值)的穿孔/線路的寬度及/或深寬比。當然,裝置(例如記憶體裝置)可具有許多或全部屬於相同尺寸及/或深寬比的穿孔。
圖7為晶粒721之範例的一示意圖,晶粒721形成於全面性層715中,而最終變成線路719中的晶粒721。金屬具有與所沉積之全面性層715實質上相同的型態(morphology)。在結果的線路719中,所沉積之金屬的晶粒721維持實質上完整。所沉積之金屬層具有一連串的大的晶粒721,晶粒721如本文提及般具有與該線路之高度幾乎相等的尺寸。如圖1所示,相較於將銅沉積為全面性層,然後消去式圖案化以形成銅線路的情況,習知的電鍍銅填充具有較高的電阻率。在多樣的實施例中,在操作206中形成的晶粒具有上至200nm的寬度、或上至線路之間的間隔寬度的十倍。
因此,該等晶粒的高度平均上為大部分的該線路的高度。通常,晶粒尺寸與線路高度實質上相等,如圖7所示。因此,該金屬線路顯著地比鑲嵌金屬更具有傳導性。在一些實施例中,在全面性薄膜中的平均晶粒尺寸,顯著地大於線路的側向尺寸。在此情況下,消去式蝕刻造成線路平均上被部分的單晶金屬晶粒填充–有效地產生一單晶金屬填充物。然而,對於實現消去式蝕刻的益處而言這並非係必要的。在一些實施例中,晶粒尺寸與線路尺寸相比係較小的。
回到圖2,在操作212中,對已圖案化的銅層進行處理,以使銅的表面還原。在一些實施例中,在處理之前,可取捨性地將帶有已圖案化的銅的基板在惰性氣體(例如氦氣)的大氣中加熱。例如,可在介於約30℃與約250℃的溫度之下加熱基板一段介於約1秒與約600秒的時間。可將該基板均勻地加熱。
在多樣的實施例中,在取捨性加熱該基板之後,對該已圖案化的銅進行處理。在一些實施例中,該處理可加熱地、使用UV、使用電漿(遠端式或原位式)、或其任何組合來執行。在一些實施例中,對銅的處理係透過將其表面暴露到一處理氣體而達成。在許多實施例中,該處理還原並潛在地修復銅的表面。該處理提高附著能,並為其後的沉積提供更佳的表面。對於已圖案化的銅線路而言,該處理尤其重要之處在於在提高銅與相鄰的層之間的附著能,且亦為其後的層之沉積提供更均勻且具附著性的表面。在多樣的實施例中,該處理氣體為還原劑,例如氫氣(H2 )、或氨氣(NH3 )。在一些實施例中,該處理氣體為氫氣與氮氣(H2 /N2 )的混合物。該處理氣體可包括惰性氣體,例如氦氣。
對於基板上的已圖案化的銅之處理可包括使用UV光之同時照射。該UV光具有介於約190nm與約450nm的波長。在一些實施例中,在使用UV光照射的同時,將基板暴露到氫氣與氦氣一段介於約1秒與約300秒的時間。在一些實施例中,該已圖案化的銅係在使用處理氣體的處理之前或之後被暴露到UV光。
該處理可在介於約100℃與約400℃、或介於約200℃與約400℃的溫度下執行。在一些實施例中,在約350℃的溫度下處理該基板。該處理可在介於約0.2Torr與約50Torr的腔室壓力下執行。在多樣的實施例中,該處理可在無電漿存在的情況下執行。
在多樣的實施例中,該處理可在有電漿存在的情況下執行。對於基板上的已圖案化的銅之處理可包括暴露到遠端式電漿或原位式電漿。該電漿來源可將銅還原之有效性增強或修復銅表面。所供應之功率與頻率足以從被供應到處理腔室中的處理氣體中產生電漿。在使用射頻(RF)雙頻率電漿的一製程中,高頻(HF)RF的部分(component)通常介於5MHz到60MHz,例如13.56 MHz。在有低頻(LF)部分的操作中,該LF部分可來自約100kHz到5MHz、或100kHz到2MHz,例如430kHz。針對四站的工具中的300mm基板而言,電漿功率的範圍可為(例如):對於HF功率為介於約50W到2500W,以及對於LF功率為介於約0W到2500W。對於HF功率的每單位基板面積的電漿功率為介於約0.018W/cm2 與約0.884W/cm2 ,以及對於LF功率的每單位基板面積的電漿功率為介於約0W/cm2 與約0.884W/cm2
在操作212之後,在一些實施例中執行操作214,但在其他實施例中執行操作254。在操作214中,在該基板上沉積一銅-介電質介面材料(可為選擇性黏著襯墊或選擇性黏著層),使得該銅-介電質介面材料僅吸附於該已圖案化的銅之表面上。因為銅已被消去式蝕刻,所以除了該已圖案化的銅之頂部表面之外,銅-介電質介面材料亦吸附於該已圖案化的銅之側部表面上。在該已圖案化的銅上的遮罩或阻障層未被移除的實施例中,該銅-介電質介面材料可吸附於該側部表面上。該銅-介電質介面材料實質上將該銅的表面密封以避免在該已圖案化的銅之表面(若未沉積銅-介電質介面材料,則可能暴露到介電質材料)附近的電致遷移,藉此改良該銅-介電質介面。銅-介電質介面材料可透過CVD、ALD、或PVD來沉積。在許多實施例中,在介於約100℃與約400℃、或介於約200℃與約400℃的溫度下,在介於約0.01Torr與約10Torr的壓力下,沉積銅-介電質介面材料。
例如,可在已圖案化的銅上沉積鈷作為銅-介電質介面材料,透過在約150℃與約350℃之間的溫度下,以及在約0.5Torr與約20Torr之間的壓力下,在一CVD處理中引入含鈷前驅物以及一載氣。鈷前驅物的範例包括(但不限於): 六羰基三級丁基乙炔二鈷(CCTBA)、二羰基環戊二烯基鈷(I)、羰基鈷、或多樣的脒基鈷前驅物。載氣的範例包括氬氣、氦氣、以及氮氣。在一些實施例中,以介於約0.5sccm與約20sccm的流速引入CCTBA。
圖8A提供被沉積在已圖案化的銅307’ (位在基板301上的阻障底層303與305上)上的銅-介電質介面材料309A的一示意圖。在所繪之實施例中,遮罩或阻障層331未被移除,所以銅-介電質介面材料309A被沉積在已圖案化的銅307’的側部上。銅-介電質介面材料309A可被沉積至小於約30Å、或介於約10Å與約20Å的一厚度值。在一些實施例中,銅-介電質介面材料309A可被沉積至小於約15Å的一厚度值。應注意的係,顯示在圖8A中的層級厚度未按比例繪製,而銅-介電質介面材料309A係描繪以更清楚地表示銅-介電質介面材料309A的選擇性特性。銅-介電質介面材料309A可具有至少約80%、或約100%的階梯覆蓋率(step coverage),藉此在已圖案化的銅的整個暴露表面周圍形成一連續的層。在許多實施例中,銅-介電質介面材料309A具有選擇性,使得其沉積在已圖案化的銅307’的表面上,但未沉積在阻障底層305或303的表面或遮罩或阻障層331的表面上。在一些實施例中,若干銅-介電質介面材料309A可沉積在阻障底層305或303的暴露的側部表面上,但整體的內連線的功能性與有效性未受到影響。例如,若將鈷作為銅-介電質介面材料沉積在已圖案化的銅上,而該已圖案化的銅位於鉭阻障底層上,且若干的鈷被沉積在該鉭阻障底層的暴露的側部表面上,因為該鉭不會擴散,所以該鈷的有效性不受影響。
沉積銅-介電質介面材料的一優點為,其不會沉積在下方的介電質或阻障層的暴露表面上。若銅-介電質介面材料被沉積在介電質上,該銅-介電質介面材料可能造成短路。因此,即使銅-介電質介面材料為金屬,其選擇性(幾乎排他地沉積在已圖案化的銅上)提供不必將銅-介電質介面材料(被沉積在暴露的介電質表面上)移除的優點。
在一些實施例中, 銅-介電質介面材料通常對金屬具有選擇性。在一些實施例中, 該銅-介電質介面材料對銅具有選擇性。選用於沉積銅-介電質介面材料的化學品,可取決於下伏的金屬穿孔的化學品。例如,沉積在已圖案化的銅上的合適的銅-介電質介面材料為鈷。
該銅-介電質介面材料具有高附著能。附著能被定義為將一層薄膜從被吸附的相鄰一層薄膜上拉開或斷開所需要的能量。附著能可用J/m2 計量。對於許多金屬-介電質介面而言,附著能約為10 J/m2 。在許多實施例中,該銅-介電質介面的附著能大於約5J/m2 、或大於約10J/m2 。雖然該銅-介電質介面材料可減少銅-介電質介面上的電致遷移,但銅擴散(通過該銅-介電質介面材料進入介電質)仍可能發生,由此使介電質的可靠度降低。因此,接續地在該銅-介電質介面材料上沉積一介電質阻障層,如下文所述。
返回參考圖2,在操作216中,在該銅-介電質介面材料上沉積一介電質阻障層。沉積該介電質阻障層,使得其在該已圖案化的銅上形成薄的一共形層,且使得其亦接觸在該已圖案化的銅之間的介電質層或阻障底層。該介電質阻障層可透過CVD、ALD或保形薄膜沉積(CFD) 來沉積。用於該沉積處理的前驅物可取決於待沉積的該介電質阻障層的化學品。例如,針對一SiOC介電質阻障層,合適的前驅物包括四甲基矽烷、三甲基矽烷。可在介於約200℃與約400℃的處理溫度下、在介於約0.1Torr與約5Torr的壓力下進行沉積。在沉積期間亦可流動載氣。例示性載氣包括氦氣、二氧化碳(CO2 )、氬氣、及氮氣。在一些實施例中,該前驅物(例如四甲基矽烷)與該載氣(例如CO2 )係被依序地引入。針對連續的一流量,該前驅物(例如四甲基矽烷)與該載氣(例如CO2 )係分別地以介於約50sccm與約500sccm、以及約2000sccm與約5000sccm的流速來沉積。在多樣的實施例中,該介電質阻障層之沉積可為加熱的 (例如無電漿)。
圖9A為被沉積在遮罩331(在一些實施例中不存在)、及 銅-介電質介面材料309A上方的介電質阻障層311的一示意圖。銅-介電質介面材料309A覆蓋在已圖案化的銅307’的表面上,而已圖案化的銅307’位在阻障底層303與305之上,而該等層全部在基板301上。介電質阻障層311可包括任何高k介電質化學品。例如介電質阻障層311可包括SiCN、SiON、AlN、及 SiOC。在一些實施例中,介電質阻障層311可為鋁氧化物或矽氧化物層。在多樣的實施例中,介電質阻障層311可具有大於或等於4的k值。在一些實施例中,介電質阻障層311具選擇性。在多樣的實施例中,介電質阻障層311不具選擇性。介電質阻障層311保護金屬不氧化並亦避免銅線路的銅擴散。在某些實施例中,SiCN的介電質阻障層311沉積在鈷的銅-介電質介面材料309A(對已圖案化的銅307’的表面加襯墊(line))的頂部。在許多實施例中,介電質阻障層311小於約3nm、例如約2nm。在多樣的實施例中,介電質阻障層具有至少80%的階梯覆蓋率、或約100%的階梯覆蓋率。
回到圖2,在操作218中,對該介電質阻障層與該阻障底層進行非等向性蝕刻,使得該介電質阻障層與該阻障底層的水平表面被移除,而下降到該介電質基板。可使用任何習知的非等向性蝕刻方法,例如活性(reactive)離子蝕刻。圖10A為已被蝕刻的底層303與305的一示意圖。注意已將介電質阻障層311的水平部分從遮罩331、以及阻障底層303與305兩者之上移除,而形成介電質間隔物311’,而同時基板301現為暴露的。
回到圖2,在操作220中,可取捨性地將一介電質主體層接續地沉積在該基板上。該介電質主體層可透過CVD、ALD、CFD、或旋塗方法來沉積。在許多實施例中,該介電質主體層為低k介電質。例如,該介電質主體層為旋塗有機聚合物。在許多實施例中,沉積該介電質主體層之後,可對該基板執行CMP。
此介電質沉積可根據任何合適的技術來執行,包括些許熟知的方法(包括CVD、ALD、及CFD為主的方法)中之任一者。合適的範例載於(例如)美國專利案第6596654號、第 7629227號、第 8557712號; 以及申請案第 14/074596號。與介電質沉積技術有關的該等揭露加入本案之參考資料。在一些實施例中,沉積該介電質主體層,藉此填充該等金屬線路之間的間隔。圖11A為基板301的示意圖,其中介電質主體層313被沉積在介電質間隔物311’上,藉此填充已圖案化的銅307’ (被使用銅-介電質介面材料309A 選擇性覆蓋)之間的間隔。
在一些實施例中,沉積該介電質主體層,藉此刻意地在該等金屬線路之間留下空氣間隔,同時在該介電質阻障層上具有低於約90%的階梯覆蓋率。無須受特定理論約束,一般認為在金屬線路之間的空氣間隔可降低該介電質主體層的電容值,俾提高效能。
圖11B為基板301的示意圖,其中介電質主體層323沉積在介電質間隔物311’上,並且在已圖案化的銅307’ (被使用銅-介電質介面材料309A 選擇性覆蓋)之間有空氣間隔315。注意在一些實施例中,介電質主體層323仍沉積在一些介電質間隔物311’的表面上,但該階梯覆蓋率可低於約90%。
回到圖2,替代性地,在操作212中對該已圖案化的銅進行處理之後,在操作254中沉積一介電質襯墊層。該介電質襯墊層可為任何合適的介電質,如上所列。該介電質襯墊層具有類似於該阻障底層的厚度,但係使用CVD、或ALD方法而保形地沉積在該基板上。該介電質襯墊層的材料可包括鋁氧化物或矽氧化物、或其任何組合。圖8B為被保形地沉積在已圖案化的銅307’與遮罩331上的介電質襯墊層309B的範例的一示意圖。在一些實施例中,遮罩331在沉積介電質襯墊層309B之前已被移除。
回到圖2,在操作256中,對該阻障底層進行蝕刻,且對該介電質襯墊進行非等向性蝕刻,以形成介電質間隔物。可使用氫氣與鹵素化學品(例如CF4 、NF3 、或CHF3 )來開通(open)該襯墊與阻障層,並凹入(recess in )低k介電質。該氫氣與鹵素化學品可在介於約0sccm與約500sccm的流速下流動進入腔室中。載氣可包括氦氣、氖氣、或氬氣。氬氣與氖氣可在介於約0sccm與約500sccm的流速下流動,而氦氣可在介於約0sccm與約1000sccm的流速下流動。在一些實施例中,在介於約0sccm與約200sccm的流速下使用氮氣。腔室壓力可介於約2mTorr與約80mTorr。該鹵素可透過一電漿而激發,該電漿具有介於約200W與約1500W的TCP功率以及介於約20V與約400V的偏壓。襯墊開通與阻障層開通、以及低k凹入,可在介於20℃與約120℃的溫度之下執行。在襯墊開通期間,該銅可受到該介電質阻障層(先前沉積在該已圖案化的銅上)的保護。使用一鹵素化學品,然後可使用多樣的方法對阻障層進行蝕刻,俾凹入介電質。
圖9B為暴露的基板301的示意圖解,其中阻障底層303 與305的水平部分被移除,且遮罩331上的介電質襯墊層309B的水平部分亦被移除,而形成介電質間隔物309B’。 在不存在遮罩331的一些實施例中,已圖案化的銅307’的頂部表面係暴露的。
在操作258中,可取捨性地在該基板上接續地沉積一介電質主體層。針對介電質主體層沉積之條件可為前文參考操作220所述的任一者。圖10B為被沉積在該基板上的介電質主體層313的示意圖解。設備
本文中之方法可通過可得自許多供應商的多樣型態的沉積設備而據以實現。合適的設備之範例包括SABRE™ 電化學沉積 (ECD)產品、 Vector (PECVD)產品、 Concept-1 Altus™、 Concept 2 Altus™、Concept-2 Altus-S™、Concept 3 Altus™ 沉積系統、以及得自美國加州佛蒙特(Fremont, CA)的蘭姆研究公司(Lam Research Corp. )的 Altus Max™、或多種其他商業可購得之 ECD、 CVD、及/或 PVD工具中之任一者。在一些情況下,一PNL/CVD 處理可在複數個沉積站中依序地執行。
在一些實施例中,銅-介電質介面材料之沉積係透過例如CVD達成。例如,一鈷膠黏層可在一第一站中透過CVD而沉積在已圖案化的銅之上,而該第一站乃係位在一單一的沉積腔室中的2、5、或甚至更多沉積站中之一者。替代性地,該第一站可用於對基板上的已圖案化的銅進行處理。在一些實施例中,該銅-介電質介面材料之沉積可在一分離的模組中進行。
然後一第二站可用於沉積介電質阻障層,例如透過在該第二站中的脈衝處理(pulsed process)。因此,該第二站使用一獨立氣體供應系統在基板表面建立局部氣壓(localized atmosphere),將處理氣體引到半導體基板(在第二站中)的表面。在一些實施例中,全部的四站可用來沉積介電質阻障層。
使用CVD的介電質主體層沉積可在一或更多的站中執行。可使用二或更多的站以一平行處理的方式執行CVD。替代性地,一基板可被安排為使得CVD操作係依序地在二或更多的站中執行。
沉積站1200的範例描繪於圖12中,其包括基板固持器1202、以及噴淋頭1203。在支座部分1201中可提供一加熱器。各個沉積站包括一加熱基板固持器、以及一噴淋頭、分配板、或其他氣體入口。在一些實施例中,沉積站1200可包括一電漿產生器,例如射頻(RF)電漿。
圖13為適合執行銅-介電質介面材料與介電質阻障層沉積處理的處理系統的一方塊圖。系統1300包括傳送模組1303。傳送模組1303提供乾淨、加壓的環境,以將處理中的基板的汙染風險(當在許多反應器模組之間移動時)降至最低。被架設於傳送模組1303的係多站反應器1309,其能夠執行基板處理、CVD沉積、以及CFD沉積。腔室1309包括可依序地執行操作的複數個站1311、1313、1315、及1317。例如可配置腔室1309使得站1311執行處理、站1313執行銅-介電質介面材料的CVD沉積、站1315執行介電質阻障層的CFD沉積、以及站1317執行介電質主體層的CVD沉積。
亦被架設於傳送模組1303上可係一或更多的單一或多站的模組1307,其能夠執行電漿或化學(非電漿)預清理。模組1307亦可被用於多樣的其他操作,例如銅-介電質介面材料的沉積。系統1300亦包括一或更多的(在此例中為二)基板來源模組1301,為處理之前與之後儲存基板之處。首先,在大氣傳送腔室1319中的一大氣機械手(未顯示)將基板從來源模組1301移動到負載閘1321中。傳送模組1303中的一基板傳送裝置(通常為一機器手臂裝置)將基板從負載閘1321移往模組(被架設於傳送模組1303上)並在該等模組當中移動基板。在一些實施例中,在模組1307中沉積銅-介電質介面材料。
在多樣的實施例中,系統控制器1329被使用於控制沉積期間的製程條件。控制器1329典型上包括一或更多的記憶體裝置、以及一或更多的處理器。處理器可包括CPU或電腦、類比及/或數位的輸入/輸出連接件、步進馬達控制板等。
控制器1329可控制沉積設備的所有活動。系統控制器1329執行包括用於控制下列各項之指令組的系統控制軟體:時程、氣體的混合、腔室壓力、腔室溫度、基板溫度、射頻(RF)功率位準(若可應用)、基板卡盤或固持器的位置、以及特定製程的其他參數。在其他實施例中,可使用儲存在與控制器1329連接的記憶體裝置中的其他電腦程式。替代性地,控制邏輯可被硬編碼在控制器中。為此緣故可使用特殊應用積體電路、可程式化邏輯裝置(例如場可程式化閘陣列、或FPGAs)、以及其他。在下列討論中,無論使用的係「軟體」或「程式碼」,可使用功能性相當的硬編碼邏輯(functionally comparable hard coded logic)來取代。
有與控制器1329連接的一使用者介面。該使用者介面包括顯示器螢幕、設備及/或製程條件的圖形化軟體顯示器、以及使用者輸入裝置(例如指標裝置、鍵盤、觸控螢幕、麥克風等)。
可透過任何適當方式來配置系統控制邏輯。一般而言,該邏輯可設計或配置於硬體及/或軟體中。用於控制驅動電路的指令可被硬編碼或提供為軟體。該等指令可透過「編程式」來提供。此種編程式被理解為包括任何形式的邏輯(包括數位訊號處理器中的硬編碼邏輯、特殊應用積體電路、以及具有被植入作為硬體的特定演算法的其他裝置)。編程式亦被理解為包括可在一般目的處理器上執行的軟體或韌體指令。系統控制軟體可透過任何適當的電腦可讀程式語言而編碼。
電腦程式碼(用於控制膠黏層前驅物流量、載氣流量、以及介電質層前驅物流量、以及製造程序中的其他處理)可透過任何習知的電腦可讀程式語言而寫入,例如組合語言、C、C++、Pascal程式語言、Fortran程式語言、或其他。編成的目的碼或稿件由該處理器執行,以執行在該程式中所識別出的任務。該程式碼亦如所指出般可被硬編碼。
該控制器的參數涉及製程條件,如舉例來說,處理氣體的組成與流速、溫度、壓力、冷卻氣體的壓力、基板的溫度、以及腔壁的溫度。該等參數以一配方的形式提供給使用者,且可利用使用者介面進入。
用於偵測製程的訊號可透過系統控制器1309的類比及/或數位輸入連接件來提供。用於控制製程的訊號可輸出在沉積設備1300的類比與數位輸出連接件上。
系統軟體可透過許多不同的方式來設計或配置。例如,可寫入多樣的腔室元件的副程式或控制物件,以控制對於實現根據所揭露之實施例的沉積處理所需之腔室元件之運作。為此目的的程式或部分程式的範例,包括基板定位的程式碼、處理氣體控制的程式碼、壓力控制的程式碼、以及加熱器控制的程式碼。
基板定位程式可包括用於控制腔室元件之程式碼,該腔室元件用於將基板裝載至支座或卡盤上、並控制基板與腔室的其他部分(例如氣體入口及/或靶材)之間的間隔。
處理氣體控制程式可包括用於控制下列各項之程式碼:氣體組成及流速,以及為使腔室中的壓力安定,在沉積之前使氣體流進腔室中(可取捨性)。壓力控制程式可包括用於透過調節(例如)腔室的排氣系統中的節流閥來控制腔室中的壓力的程式碼。加熱器控制程式可包括用於控制加熱裝置(用於加熱基板)的電流的程式碼。替代性地,該加熱器控制程式可控制熱傳送氣體(例如氦氣)到基板卡盤的輸送。電漿控制程式可包括用於根據本文中之實施例設定一或更多的處理站中的RF功率位準、以及暴露時間的程式碼。
可在沉積期間被偵測的腔室感測器的範例,包括質流控制器、壓力感測器(例如壓力計)、以及位於支座或卡盤中的溫差電偶。連同來自該等感測器的資料,可使用經適當程式化的回饋與控制演算法一起維持所期望之製程條件。
前文描述在單一或多腔室半導體處理工具中的所揭露之實施例的實施。本文所述之該設備/製程,可連同微影圖案化的工具或製程一起使用,例如用於半導體裝置、顯示器、LEDs、太陽能平板以及類似物的加工或製造。典型地但非必要地,此類工具/製程在共同的製造場所中被一起使用或操作。薄膜的微影圖案化典型上包括一些或全部下述之操作,各個操作藉由若干合理的工具而促成:(1)塗佈光阻劑於工件(即基板)上,使用旋塗或噴塗工具;(2)使光阻劑硬化,使用熱板或熔爐或UV硬化工具;(3)暴露該光阻劑到可見光或UV光或X光,使用如晶圓步進器的工具;(4)使該光阻劑顯影,以選擇性地移除光阻劑並藉此使之圖案化,使用如濕式清潔台的工具;(5) 將該光阻劑圖案轉移到下層的薄膜或工件,使用乾式或電漿輔助蝕刻工具;以及(6)移除該光阻劑,使用如RF或微波電漿光阻剝離器的工具。
雖然為了理解的明確性,前述之實施例已被詳細地描述,但明顯的係,可在隨附申請專利範圍中之範疇內,做出某些變化與修改。應注意的係,有可執行本文中之實施例的製程、系統、及設備的許多替代方式。因此,應將本文中之實施例的範例視為例示性(而非限制性),且該等實施例並不被侷限於在本文中提出之細節。
101‧‧‧凹槽
103‧‧‧金屬
105‧‧‧晶界
202‧‧‧操作
204‧‧‧操作
206‧‧‧操作
208‧‧‧操作
210‧‧‧操作
210a‧‧‧操作
210b‧‧‧操作
210c‧‧‧操作
212‧‧‧操作
214‧‧‧操作
216‧‧‧操作
218‧‧‧操作
220‧‧‧操作
254‧‧‧操作
256‧‧‧操作
258‧‧‧操作
301‧‧‧基板
303‧‧‧阻障底層
305‧‧‧阻障底層
307‧‧‧全面性銅層
307’‧‧‧已圖案化的銅
309A‧‧‧銅-介電質介面材料
309B‧‧‧介電質襯墊層
309B’‧‧‧介電質間隔物
311‧‧‧介電質阻障層
311’‧‧‧介電質間隔物
313‧‧‧介電質主體層
315‧‧‧空氣間隔
323‧‧‧介電質主體層
331‧‧‧阻障或遮罩層
333‧‧‧光阻劑
715‧‧‧全面性層
719‧‧‧線路
721‧‧‧晶粒
1200‧‧‧沉積站
1201‧‧‧支座部分
1202‧‧‧基板固持器
1203‧‧‧噴淋頭
1300‧‧‧系統
1301‧‧‧來源模組
1303‧‧‧傳送模組
1307‧‧‧模組
1309‧‧‧多站反應器/腔室
1311‧‧‧站
1313‧‧‧站
1315‧‧‧站
1317‧‧‧站
1319‧‧‧大氣傳送腔室
1321‧‧‧負載閘
1329‧‧‧控制器
圖1為成長於線路中的金屬晶粒的一示意圖。
圖2為描述根據所揭露之實施例執行方法的操作的一流程圖。
圖3-6為根據所揭露之實施例的基板的示意圖。
圖7為根據所揭露之實施例的帶有晶粒的線路的一示意圖。
圖8A-11A、及圖8B-11B為根據所揭露之實施例的基板的示意圖。
圖12為適合根據所揭露之實施例執行操作的腔室的一示意圖。
圖13為適合根據所揭露之實施例執行操作的工具的一示意圖。

Claims (25)

  1. 一種處理半導體基板的方法,該方法包括下列步驟:提供包含複數圖案化金屬特徵部的一半導體基板,該複數圖案化金屬特徵部係藉由以基於電漿的乾式蝕刻對一全面性金屬層執行消去式蝕刻而形成,以在該半導體基板上的一第一材料上方形成該複數圖案化金屬特徵部;對該複數圖案化金屬特徵部進行處理,以使該複數圖案化金屬特徵部的表面還原;選擇性地在該複數圖案化金屬特徵部上沉積一金屬-介電質介面材料,其係相對於該半導體基板上之該第一材料具有選擇性,使得該金屬-介電質介面材料僅吸附至該複數圖案化金屬特徵部的表面;以及在選擇性地沉積該金屬-介電質介面材料之後,在該半導體基板上沉積一介電質層。
  2. 如申請專利範圍第1項之處理半導體基板的方法,其中該全面性金屬層係一全面性銅層。
  3. 如申請專利範圍第1項之處理半導體基板的方法,其中該複數圖案化金屬特徵部係互相隔開,且該介電質層係沉積成填充該複數圖案化金屬特徵部之間的間隔。
  4. 如申請專利範圍第1項之處理半導體基板的方法,其中該第一材料係選自由鉭、鉭氮化物、矽氮化物、碳摻雜矽氮化物、氧摻雜矽氮化物、氧摻雜矽碳化物、及其組合組成的群組。
  5. 如申請專利範圍第1項之處理半導體基板的方法,其中該金屬-介電質介面材料為透過化學氣相沉積或原子層沉積而沉積的鈷。
  6. 如申請專利範圍第2項之處理半導體基板的方法,其中該金屬-介電質介面材料對於銅之附著能係至少約5J/m2
  7. 如申請專利範圍第2項之處理半導體基板的方法,其中該金屬-介電質介面材料被沉積至小於約30Å的一厚度值。
  8. 如申請專利範圍第1項之處理半導體基板的方法,更包括將該複數圖案化金屬特徵部暴露到UV光。
  9. 如申請專利範圍第1項之處理半導體基板的方法,其中該處理之步驟包括同時地將該複數圖案化金屬特徵部暴露到UV光。
  10. 如申請專利範圍第1項之處理半導體基板的方法,其中該處理之步驟包括將該半導體基板暴露到遠端式或原位式電漿。
  11. 如申請專利範圍第1項之處理半導體基板的方法,其中該處理之步驟包括將該複數圖案化金屬特徵部暴露到選自下列所組成之群組中的一還原劑:氫氣(H2)、氨氣(NH3)、及氫氣/氮氣(H2/N2)。
  12. 如申請專利範圍第1項之處理半導體基板的方法,更包括對該介電質層進行非等向性蝕刻。
  13. 如申請專利範圍第1項之處理半導體基板的方法,其中透過沉積該全面性金屬層所形成的晶粒尺寸,平均上大於透過消去式蝕刻所形成的該複數圖案化金屬特徵部之尺寸。
  14. 如申請專利範圍第1項之處理半導體基板的方法,其中執行消去式蝕刻之步驟包括將部分的全面性金屬層移除,以形成該複數圖案化金屬特徵部。
  15. 如申請專利範圍第1項之處理半導體基板的方法,其中沉積該介電質層之步驟留下空氣間隔。
  16. 如申請專利範圍第1項之處理半導體基板的方法,更包括對該介電質層進行蝕刻,以形成至少一介電質間隔物,其中該至少一介電質間隔物包括選自下列所組成之群組中的材料:鋁氧化物、SiOC、SiNC、及矽氧化物。
  17. 如申請專利範圍第4項之處理半導體基板的方法,其中對該複數圖案化金屬特徵部進行處理一段介於約1秒與約300秒的時間。
  18. 如申請專利範圍第1-17項中之任一者之處理半導體基板的方法,其中該複數圖案化金屬特徵部係在介於約200℃與約400℃的溫度下進行處理。
  19. 如申請專利範圍第1-17項中之任一者之處理半導體基板的方法,更包括在執行消去式蝕刻之前,在該半導體基板上沉積一或更多的底層,其中該全面性金屬層係沉積在該一或更多的底層之上。
  20. 如申請專利範圍第19項之處理半導體基板的方法,其中該一或更多的底層中之一者包括鉭及/或鉭氮化物。
  21. 如申請專利範圍第1-17項中之任一者之處理半導體基板的方法,其中在該複數圖案化金屬特徵部中的線路具有介於約5:1與約1:1的深寬比。
  22. 如申請專利範圍第1-17項中之任一者之處理半導體基板的方法,沉積該介電質層之步驟包括沉積一介電質阻障層。
  23. 如申請專利範圍第22項之處理半導體基板的方法,其中該介電質阻障層包括高k(介電常數)材料,且其中k大於或等於3。
  24. 如申請專利範圍第22項之處理半導體基板的方法,其中該介電質阻障層被沉積至小於約3nm的一厚度值。
  25. 如申請專利範圍第1項之處理半導體基板的方法,其中該全面性金屬層係一全面性銅層,其中該複數圖案化金屬特徵部係互相隔開且該介電質層係沉積成填充該複數圖案化金屬特徵部之間的間隔,且其中該第一材料係選自由鉭、鉭氮化物、矽氮化物、碳摻雜矽氮化物、氧摻雜矽氮化物、氧摻雜矽碳化物、及其組合組成的群組。
TW104120873A 2014-06-30 2015-06-29 用於消去式金屬積體化的襯墊及阻障層應用 TWI665760B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/320,245 US9899234B2 (en) 2014-06-30 2014-06-30 Liner and barrier applications for subtractive metal integration
US14/320,245 2014-06-30

Publications (2)

Publication Number Publication Date
TW201614770A TW201614770A (en) 2016-04-16
TWI665760B true TWI665760B (zh) 2019-07-11

Family

ID=54931313

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104120873A TWI665760B (zh) 2014-06-30 2015-06-29 用於消去式金屬積體化的襯墊及阻障層應用

Country Status (4)

Country Link
US (2) US9899234B2 (zh)
KR (1) KR102432484B1 (zh)
CN (1) CN105225945A (zh)
TW (1) TWI665760B (zh)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US20170040257A1 (en) 2015-08-04 2017-02-09 International Business Machines Corporation Hybrid subtractive etch/metal fill process for fabricating interconnects
CN106653678A (zh) * 2015-11-03 2017-05-10 中芯国际集成电路制造(上海)有限公司 导电插塞结构及其形成方法
CN105789218A (zh) * 2016-03-10 2016-07-20 京东方科技集团股份有限公司 一种基板、其制作方法及显示装置
TW201819665A (zh) 2016-09-10 2018-06-01 美商應用材料股份有限公司 用於選擇性沉積之選擇性改良的原位預清潔
US10229826B2 (en) * 2016-10-21 2019-03-12 Lam Research Corporation Systems and methods for forming low resistivity metal contacts and interconnects by reducing and removing metallic oxide
US10727114B2 (en) * 2017-01-13 2020-07-28 International Business Machines Corporation Interconnect structure including airgaps and substractively etched metal lines
US10141509B2 (en) * 2017-03-30 2018-11-27 International Business Machines Corporation Crossbar resistive memory array with highly conductive copper/copper alloy electrodes and silver/silver alloys electrodes
US11404313B2 (en) 2017-04-26 2022-08-02 Applied Materials, Inc. Selective tungsten deposition at low temperatures
US10020183B1 (en) * 2017-06-29 2018-07-10 Lam Research Corporation Edge roughness reduction
US10211052B1 (en) * 2017-09-22 2019-02-19 Lam Research Corporation Systems and methods for fabrication of a redistribution layer to avoid etching of the layer
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10734238B2 (en) * 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
CN112236289B (zh) 2018-05-22 2023-02-21 曼特尔公司 用于自动工具路径生成的方法和系统
US10847376B2 (en) * 2018-06-28 2020-11-24 Sandisk Technologies Llc In-situ deposition and etch process and apparatus for precision patterning of semiconductor devices
US10991651B1 (en) * 2020-03-03 2021-04-27 Nanya Technology Corporation Interconnection structure having reduced capacitance and method of manufacturing the same
US11171044B1 (en) 2020-05-08 2021-11-09 International Business Machines Corporation Planarization controllability for interconnect structures

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6410442B1 (en) * 1999-08-18 2002-06-25 Advanced Micro Devices, Inc. Mask-less differential etching and planarization of copper films
US20120080793A1 (en) * 2010-10-05 2012-04-05 Michal Danek Subtractive patterning to define circuit components

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5110760A (en) 1990-09-28 1992-05-05 The United States Of America As Represented By The Secretary Of The Navy Method of nanometer lithography
US6285082B1 (en) 1995-01-03 2001-09-04 International Business Machines Corporation Soft metal conductor
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
KR19990016355A (ko) 1997-08-14 1999-03-05 구본준 반도체소자의 금속배선 및 그 형성방법
US7244677B2 (en) 1998-02-04 2007-07-17 Semitool. Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US6323131B1 (en) 1998-06-13 2001-11-27 Agere Systems Guardian Corp. Passivated copper surfaces
JP3631392B2 (ja) 1998-11-02 2005-03-23 株式会社神戸製鋼所 配線膜の形成方法
KR100304962B1 (ko) 1998-11-24 2001-10-20 김영환 텅스텐비트라인형성방법
JP3459372B2 (ja) 1999-03-18 2003-10-20 株式会社神戸製鋼所 配線膜の形成方法
US6340633B1 (en) 1999-03-26 2002-01-22 Advanced Micro Devices, Inc. Method for ramped current density plating of semiconductor vias and trenches
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
KR20030055346A (ko) 2000-12-11 2003-07-02 프레지던트 앤드 펠로우즈 오브 하버드 칼리지 나노센서
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US7335153B2 (en) 2001-12-28 2008-02-26 Bio Array Solutions Ltd. Arrays of microparticles and methods of preparation thereof
US6943105B2 (en) 2002-01-18 2005-09-13 International Business Machines Corporation Soft metal conductor and method of making
US6899816B2 (en) 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US6614116B1 (en) 2002-06-04 2003-09-02 Micron Technology, Inc. Buried digit line stack and process for making same
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US6670682B1 (en) 2002-08-29 2003-12-30 Micron Technology, Inc. Multilayered doped conductor
JP2006504136A (ja) 2002-10-21 2006-02-02 ナノインク インコーポレーティッド ナノメートル・スケール設計構造、その製造方法および装置、マスク修復、強化、および製造への適用
US6930034B2 (en) 2002-12-27 2005-08-16 International Business Machines Corporation Robust ultra-low k interconnect structures using bridge-then-metallization fabrication sequence
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US20050119725A1 (en) 2003-04-08 2005-06-02 Xingwu Wang Energetically controlled delivery of biologically active material from an implanted medical device
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US20050129843A1 (en) 2003-12-11 2005-06-16 Xerox Corporation Nanoparticle deposition process
WO2005060668A2 (en) 2003-12-18 2005-07-07 The Trustees Of Columbia University In The City Ofnew York Methods of modifying surfaces
US7030016B2 (en) 2004-03-30 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Post ECP multi-step anneal/H2 treatment to reduce film impurity
US7259061B2 (en) 2004-07-15 2007-08-21 Infineon Technologies Ag Method for forming a capacitor for an integrated circuit and integrated circuit
US20060292846A1 (en) 2004-09-17 2006-12-28 Pinto Gustavo A Material management in substrate processing
JP2006093357A (ja) 2004-09-22 2006-04-06 Ebara Corp 半導体装置及びその製造方法、並びに処理液
US7879710B2 (en) 2005-05-18 2011-02-01 Intermolecular, Inc. Substrate processing including a masking layer
WO2006058034A2 (en) 2004-11-22 2006-06-01 Intermolecular, Inc. Molecular self-assembly in substrate processing
US20060113675A1 (en) 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
US7405481B2 (en) 2004-12-03 2008-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Glue layer for adhesion improvement between conductive line and etch stop layer in an integrated circuit chip
US20060189113A1 (en) 2005-01-14 2006-08-24 Cabot Corporation Metal nanoparticle compositions
US20090104435A1 (en) 2005-05-13 2009-04-23 State Of Oregon Acting By And Through The State Bo Method for Functionalizing Surfaces
WO2008013516A2 (en) 2005-05-13 2008-01-31 Cambrios Technologies Corp. Seed layers, cap layers, and thin films and methods of making thereof
US7429532B2 (en) 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
KR100799119B1 (ko) 2005-08-29 2008-01-29 주식회사 하이닉스반도체 반도체메모리소자 제조 방법
US8278216B1 (en) 2006-08-18 2012-10-02 Novellus Systems, Inc. Selective capping of copper
US7601604B2 (en) 2006-10-12 2009-10-13 Atmel Corporation Method for fabricating conducting plates for a high-Q MIM capacitor
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
KR20080076236A (ko) 2007-02-15 2008-08-20 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
US8039379B1 (en) 2007-07-02 2011-10-18 Novellus Systems, Inc. Nanoparticle cap layer
US7994640B1 (en) 2007-07-02 2011-08-09 Novellus Systems, Inc. Nanoparticle cap layer
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US7732922B2 (en) 2008-01-07 2010-06-08 International Business Machines Corporation Simultaneous grain modulation for BEOL applications
US7843063B2 (en) 2008-02-14 2010-11-30 International Business Machines Corporation Microstructure modification in copper interconnect structure
US7858510B1 (en) 2008-02-28 2010-12-28 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7741226B2 (en) 2008-05-06 2010-06-22 International Business Machines Corporation Optimal tungsten through wafer via and process of fabricating same
US8293647B2 (en) 2008-11-24 2012-10-23 Applied Materials, Inc. Bottom up plating by organic surface passivation and differential plating retardation
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
KR101538982B1 (ko) 2009-08-07 2015-07-23 시그마-알드리치 컴퍼니., 엘엘씨 고분자량 알킬알릴 코발트트리카르보닐 착체 및 유전체 박막 제조를 위한 그 용도
CN103081089A (zh) * 2010-08-31 2013-05-01 东京毅力科创株式会社 半导体装置的制造方法
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8586473B1 (en) 2012-06-26 2013-11-19 GlobalFoundries, Inc. Methods for fabricating integrated circuits with ruthenium-lined copper
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US8623758B1 (en) 2012-10-22 2014-01-07 Globalfoundries Inc. Subtractive metal multi-layer barrier layer for interconnect structure
US9514983B2 (en) 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US9153482B2 (en) 2014-02-03 2015-10-06 Lam Research Corporation Methods and apparatus for selective deposition of cobalt in semiconductor processing
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9570320B2 (en) * 2014-10-09 2017-02-14 Lam Research Corporation Method to etch copper barrier film

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6410442B1 (en) * 1999-08-18 2002-06-25 Advanced Micro Devices, Inc. Mask-less differential etching and planarization of copper films
US20120080793A1 (en) * 2010-10-05 2012-04-05 Michal Danek Subtractive patterning to define circuit components

Also Published As

Publication number Publication date
US20180211846A1 (en) 2018-07-26
KR20160002393A (ko) 2016-01-07
CN105225945A (zh) 2016-01-06
US9899234B2 (en) 2018-02-20
US10199235B2 (en) 2019-02-05
KR102432484B1 (ko) 2022-08-12
US20150380272A1 (en) 2015-12-31
TW201614770A (en) 2016-04-16

Similar Documents

Publication Publication Date Title
TWI665760B (zh) 用於消去式金屬積體化的襯墊及阻障層應用
US10395944B2 (en) Pulsing RF power in etch process to enhance tungsten gapfill performance
US10784086B2 (en) Cobalt etch back
KR102609125B1 (ko) 리모트 플라즈마 프로세스를 위한 챔버 컨디셔닝
TWI712107B (zh) 具有成核抑制的特徵部填充
TWI699831B (zh) 非等向性鎢蝕刻用方法及設備
JP6494940B2 (ja) 異なるサイズのフィーチャへのボイドフリータングステン充填
TWI724998B (zh) 用於無空隙鈷間隙塡充的方法
KR102598662B1 (ko) 실리콘 옥사이드 및 게르마늄 옥사이드에 대한 등방성 원자층 에칭
JP6832057B2 (ja) 垂直nandホールエッチングのためのめっき金属ハードマスク
US9748137B2 (en) Method for void-free cobalt gap fill
KR102403860B1 (ko) 불소 프리 텅스텐을 사용하여 고 애스팩트 비 피처들을 충진하는 방법
JP6195898B2 (ja) 核形成の抑制を伴うタングステンによるフィーチャ充填
US9548228B2 (en) Void free tungsten fill in different sized features
CN107845572B (zh) 用于蚀刻金属的连续rf等离子体和脉冲rf等离子体
JP2016127285A (ja) 活性化を使用しない、シリコン酸化物のための異方性原子層エッチング
SG189225A1 (en) Subtractive patterning to define circuit components
CN113366144A (zh) 金属膜的沉积
CN110622283A (zh) 减少或消除钨膜中缺陷的方法