KR101538982B1 - 고분자량 알킬알릴 코발트트리카르보닐 착체 및 유전체 박막 제조를 위한 그 용도 - Google Patents

고분자량 알킬알릴 코발트트리카르보닐 착체 및 유전체 박막 제조를 위한 그 용도 Download PDF

Info

Publication number
KR101538982B1
KR101538982B1 KR1020127006060A KR20127006060A KR101538982B1 KR 101538982 B1 KR101538982 B1 KR 101538982B1 KR 1020127006060 A KR1020127006060 A KR 1020127006060A KR 20127006060 A KR20127006060 A KR 20127006060A KR 101538982 B1 KR101538982 B1 KR 101538982B1
Authority
KR
South Korea
Prior art keywords
allyl
deposition
precursor
film
cobalt
Prior art date
Application number
KR1020127006060A
Other languages
English (en)
Other versions
KR20120043077A (ko
Inventor
라예쉬 오데드라
닐 보아그
제프 앤티스
라비 칸졸리아
Original Assignee
시그마-알드리치 컴퍼니., 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 시그마-알드리치 컴퍼니., 엘엘씨 filed Critical 시그마-알드리치 컴퍼니., 엘엘씨
Publication of KR20120043077A publication Critical patent/KR20120043077A/ko
Application granted granted Critical
Publication of KR101538982B1 publication Critical patent/KR101538982B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F15/00Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic System
    • C07F15/06Cobalt compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/406Oxides of iron group metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD

Abstract

증착 공정에 의한 코발트 함유 박막 형성 방법이 제공된다. 상기 방법은 구조적으로 식 (I)에 해당하는 하나 이상의 전구체를 사용하는 것을 포함한다:
Figure 112012018577319-pct00025

상기 식에서, R1 및 R2는 독립적으로 C2-C8 알킬이고; x 는 0, 1, 또는 2이며; y는 0 또는 1이되, x 및 y는 둘 다 동시에 0이 되지는 않는다.

Description

고분자량 알킬알릴 코발트트리카르보닐 착체 및 유전체 박막 제조를 위한 그 용도 {HIGH MOLECULAR WEIGHT ALKYL-ALLYL COBALTTRICARBONYL COMPLEXES AND USE THEREOF FOR PREPARING DIELECTRIC THIN FILMS}
관련 출원의 교차 참조
본 출원은 2009년 8월 7일 출원된 미국 가출원 제61/232,219호 및 2010년 2월 4일 출원된 미국 가출원 제61/301,390호에 대한 우선권을 주장한다. 각각의 상기 미국 가출원의 개시 내용은 원용에 의해 전체로서 본 명세서에 통합된다.
기술 분야
본 발명은, 고분자량의 알킬-알릴 코발트트리카르보닐 착체와, 이러한 착체를 사용하여 화학 증착 (CVD) 또는 원자막 퇴적 (ALD)에 의해 유전체 박막을 제조하는 방법에 관한 것이다.
다양한 유기 금속성 전구체가 고유전율(high κ) 유전체(dielectric) 금속 박막을 형성하기 위해 사용되고 있다. 다양한 기술들이 박막의 퇴적을 위해 사용되고 있다. 이들은 반응성 스퍼터링, 이온 보조형 퇴적, 졸-겔 퇴적, CVD, 및 원자막 에피택시로도 알려져 있는 ALD를 포함한다. CVD와 ALD 공정은, 우수한 조성 제어성, 높은 필름 균일성, 도핑에 대한 양호한 제어성의 장점으로 인해, 그리고 고도로 비평탄(non-planar)한 미소 전자장치 기하에 대하여 월등한 등각형 스텝 커버리지(conformal step coverage)를 제공하기 때문에, 그 사용이 점차 증가하고 있는 추세이다.
(금속유기 CVD 또는 MOCVD라고도 불리우는) CVD 는 일종의 화학 공정으로서, 전구체를 사용하여 기재 상에 박막을 형성한다. 통상의 CVD 공정에서 전구체는 저압 또는 주변 압력 반응 체임버 내에서 기재(웨이퍼) 상으로 통과된다. 전구체는 기재 표면에서 반응하고/하거나 분해되어 퇴적 물질의 박막을 생성한다. 휘발성 부산물은 반응 체임버를 관통하는 가스 흐름에 의해 제거된다. 퇴적되는 막 두께를 제어하기는 매우 어려운데, 이는 상기 막 두께가 온도, 압력, 가스 흐름 부피 및 균일성, 화학적 고갈 효과 및 시간 등에 따라 달라지기 때문이다.
ALD는 박막의 퇴적을 위한 공지된 방법이다. 이는, 자기 한정적이고, 시계열적이며, 표면 반응에 기초한 독특한 필름 성장 기술로서, 원자막 형성제어가 가능하고 다양한 조성의 기재 상으로 전구체에 의해 제공된 물질의 퇴적-등각(deposit-conformal) 박막을 제공할 수 있다. ALD의 경우, 전구체는 반응 중에 분리된다. 제1 전구체는 기재 위로 지나가면서 기재 표면에 단층을 생산한다. 반응하지 않은 과량의 전구체는 모두 반응 체임버 밖으로 펌핑되어 나간다. 이어서, 제2 전구체가 기재 위로 지나가면서 제1 전구체와 반응함으로써 기재 상에 첫번째로 형성된 단층 필름 상에 제2 단층 필름을 형성한다. 이러한 사이클을 반복하여 소망하는 두께를 가진 필름을 생성한다. ALD 필름 성장은 자기 한정적이고, 표면 반응을 기반으로 하여, 나노미터 두께 규모로 제어될 수 있는 균일한 퇴적물을 생성한다.
유전체 박막은, 반도체 장치의 제작과 나노기술 등 다양한 중요 응용 분야를 가진다. 이러한 응용 분야로서는 고굴절률 광학 코팅, 부식 보호 코팅, 광촉매성 자체 세정 유리 코팅, 생체 적합성 코팅, 유전체 커패시터 층, 및 FET (전계 효과 트랜지스터) 내의 게이트 유전체 절연 필름, 커패시터 전극, 게이트 전극, 접착성 확산 배리어 및 집적 회로를 예로 들 수 있다. 유전체 박막은 또한, 다이나믹 랜덤 액세스 메모리(DRAM) 응용 분야를 위한 고유전율 유전체 산화물, 및 적외선 감지기에서 사용되는 강유전성 페로브스카이트와 비휘발성 강유전성 랜덤 액세스 메모리 (NV-FeRAM) 등 미소 전자 응용 분야에서 사용될 수 있다. 미소 전자 부품의 크기가 지속적으로 감소됨에 따라 이러한 유전체 박막의 사용에 대한 수요가 증가하고 있다.
Dickson R. 등은. [(η3-C3H5)Co(CO)3], [η3-C3H4Me)Co(CO)3] 및 [η3-C3H5Me2)Co(CO)3] 등 MOCVD 에서의 사용을 위한 코발트 착체를 보고한 바 있다. Polyhedron. 15(13):2237-2245 (1996).
Husebye S. 및 Jonassen H.도 코발트 착체를 보고한 바 있다. Acta Chem . Scand. 18(7):1581-1585 (1964).
Pankayatselvan R. 및 Nicholas, K. 도 코발트 착체를 보고한 바 있다. J. Organomet. Chem. 384:361-380.
현재 CVD 및 ALD에서의 사용을 위한 전구체들은 반도체 등, 차세대 디바이스의 제조를 위한 새로운 공정을 수행하기에 요구되는 성능을 제공하지 못하고 있는 실정이다. 예컨대, 열 안정성의 향상, 휘발성의 증가, 증기압의 감소, 퇴적 속도의 증가 및 높은 유전율(permittivity)이 필요하다.
발명의 개요
일구현예에서, 구조적으로 식 I에 해당하는 유기 금속성 전구체가 제공된다:
Figure 112012018577319-pct00001
여기서, R1 은 C1-C8 알킬; R2 은 C3-C8 알킬; x 는 0, 1, 또는 2이고; y는 1이다.
다른 구현예에서, 구조적으로 식 I에 해당하되 R1은 C3-C8 알킬이고; R2는 C1-C8 알킬이며; x 는 1 또는 2이고; y 는 0 또는 1인 유기 금속성 전구체가 제공된다.
다른 구현예에서, 증착 공정을 통해 코발트 함유 박막을 형성하는 방법이 제공된다. 상기 방법은, 구조적으로 식 I에 해당하되 R1 및 R2 가 독립적으로 C2-C8 알킬이고; x는 0, 1, 또는 2이고; y 는 0 또는 1이되, x 및 y가 둘 다 동시에 0이 될 수는 없는, 하나 이상의 전구체를 사용하는 것을 포함한다.
위와 같이 요약한 구현예의 특별한 측면들을 비롯하여 다른 구현예들이 이어지는 상세한 설명으로부터 명확해질 것이다.
도 1은 (t-부틸)알릴Co(CO)3의 온도 대비 % 중량 감소를 나타내는, TGA (열중량 분석) 데이터를 그래프로 도시한 것이다.
도 2는, (네오펜틸)알릴Co(CO)3의 온도 대비 % 중량 감소를 나타내는, TGA 데이터를 그래프로 도시한 것이다.
도 3은, (네오펜틸)알릴Co(CO)3를 사용하여, 온도 대비 사이클 당 펄스형 CVD 성장(옹스트롱/사이클)을 그래프로 나타낸 것이다.
도 4는, (t-부틸)알릴Co(CO)3를 사용하여, 온도 대비 사이클 당 펄스형 CVD 성장(옹스트롱/사이클)을 그래프로 나타낸 것이다.
도 5는, 기재 온도 150℃로 ALD 성장을 수행함에 있어 (네오펜틸)알릴Co(CO)3 및 Me2NNH2를 사용하였을 경우, 사이클 횟수 vs. 두께(옹스트롱)를 그래프로 나타낸 것이다.
도 6은, 기재 온도 150℃로 ALD 성장을 수행함에 있어 (t-부틸)알릴Co(CO)3 및 Me2NNH2를 사용하였을 경우 사이클 횟수 vs. 두께(옹스트롱)를 그래프로 나타낸 것이다.
본 발명의 다양한 측면에서, 치환된 알릴 유기 금속성 전구체, 이러한 전구체를 만들기 위한 방법, 및 이러한 전구체를 사용하여 금속, 금속-산화물 또는 금속 질화물 필름 등의 (그러나 이에 제한되지는 않는) 얇은 금속 함유 필름을 형성하는 방법이 제공된다.
본 발명의 방법은 높은 유전상수를 나타내는 금속함유 박막을 생성하거나 성장시키기 위해 사용된다. 여기서 사용된 바의 유전체 박막이라는 용어는, 높은 유전율(permittivity)을 가지는 얇은 필름을 지칭한다.
여기서 사용된 바의, "고유전율(high κ) 유전체"라는 용어는 (유전 상수값이 대략 3.7인) 이산화규소와 비교할 때 더 높은 유전 상수(κ)를 가지는 재료, 예를 들어, 금속 함유 필름을 지칭한다. 통상, 고유전율 유전체 필름은 반도체 제조 공정에서 이산화규소 게이트 유전체를 대체하기 위해 사용된다. 유전체 필름이 게이트 재료로서 사용되며 최소한 이산화규소보다 더 높은 유전 상수를 가지는 경우, 고유전율 유전체 필름은 "고유전율 게이트 물성"을 가진다고 할 수 있다.
여기서 사용된 바의 "상대 유전율" 이라는 용어는, 유전상수 (κ)와 같은 뜻을 가진다.
여기서 사용된 바의, "전구체"라는 용어는, CVD 또는 ALD 등의 증착 공정에 의해 박막을 형성하도록 기재로 퇴적 또는 배달되는 유기 금속성 분자, 착체 및/또는 화합물을 지칭한다.
여기서 사용된 바의, "증착 공정" 이라는 용어는, CVD 또는 ALD 등 임의의 증착 기술을 지칭하기 위해 사용된다. 본 발명의 다양한 구현예에서, CVD는 전통적인 CVD, 액체 주입형 CVD, 또는 광보조형 CVD의 형태를 취할 수 있다. 다른 구현예에서, ALD는 전통적인 ALD, 액체 주입형 ALD, 광 보조형 ALD, 또는 플라즈마 보조형 ALD의 형태를 취할 수 있다.
본 발명의 치환된 알릴 유기금속 전구체는, 이전에 사용된 미치환의 전구체, 알릴Co(CO)3 보다 실질적으로 향상된 공급원이다. 미치환된 전구체, 알릴Co(CO)3 및 심지어, 메틸 및 디메틸 치환된 알릴Co(CO)3 전구체는 지나치게 휘발성이 강하여 CVD 공정에 혹은 반도체 응용 분야에서 제어된 얇은 층 퇴적에 별로 적합치 않다. 예를 들어, Dickson 등은 앞서 인용된 문헌 제2242면에서 "알릴성 수소를 메틸기로 대체하는 것은 증기압의 크기에 그다지 큰 영향을 주지 못한다"고 단언한 바 있다. 놀랍게도, 기대와 정반대로, 본 발명자들은 보다 벌키하게 치환된 알릴 전구체의 경우, 실상은, 증기압을 감소시키며, 따라서 그 취급이 보다 용이한 것을 확인하였다.
또한, 이렇게 새로운 전구체들은 상이한 휘발성을 나타내어, 이들의 합성에 범용 용매를 사용할 수 있게 된다. 이는 보다 효율적인 분리를 가능케 하여, 전구체를 더 높은 순도로 분리하는 것을 용이하게 한다.
이들 전구체에 대한 목표로 하는 퇴적 온도는, 예를 들어 약 90℃ 내지 약 200℃로서, 다른 전구체들보다 낮다. 저온 공정을 이용할 수 있게 되어 집적화가 더 용이하게 되는데, 이는 하부 전기 회로망이 높은 열 비용(thermal budget)을 감내할 필요가 없기 때문이다.
현재까지, 알릴 및 메틸 치환된 알릴 코발트 유도체는 허용 불가한 수준의 탄소 통합을 포함하는 금속막 층들을 제공하고 있다. 예를 들어, 대략 3% 초과의 탄소 통합은 허용 불가한 것이라 생각된다. 따라서, 일구현예에서, 본 방법은, 약 3% 이하의 탄소 통합을 가지는 코발트 함유 박막을 형성한다. 탄소 통합은, 필름의 전도성 저하를 초래하고 디바이스 성능의 퇴화를 가져오므로 피해야 하는 것이다. 특정 이론에 의해 구속되려함은 아니지만, 본 발명자들은, 알릴 상에 치환된 벌키한 기들이 상이한 메커니즘을 경유하여 분해되기 때문에 표면에 그다지 많은 메틸 라디칼을 남기지 않는다고 생각한다. 메틸 라디칼은 매우 강력한 금속-탄소 결합을 제공하여 허용할 수 없는 정도로 높은 수준의 탄소 통합을 초래한다. 유기기가 깨끗하게 "떨어져 나가게" 되어, 이처럼 원치 않는 반응이 감소되고, 따라서 형성된 최종 필름의 저항이 감소되고 전도도가 향상된다.
또한, 본 전구체의 다른 이점은, 이들이 상온에서 액체 상태로서 취급에 매우 유리하다는 점이다.
따라서, 제1 구현예에서, 알킬 치환된 알릴 코발트트리카르보닐 유기 금속성 전구체가 제공된다. 상기 유기 금속성 전구체는 구조적으로 식 I에 해당한다:
Figure 112012018577319-pct00002
상기 식에서, R1 및 R2는 독립적으로 C2-C8 알킬이고; x는 0, 1, 또는 2이며; y 는 0 또는 1이되; x 및 y 가 둘 다 동시에 0이 될 수는 없다.
주목해야 하는 것은, 상기 식에 의해 나타내어지는 본 발명의 전구체가 알릴 부분에 공명하는 이중 결합을 가지며, 상기 알릴부분은, 코발트 중심과 η3-배위상태에 있는 [C3H5] 라는 것이다. 이러한 특징들은 점선 결합에 의해 나타내었다. 알릴부분이 하나의 R기에 의해 치환된 경우, 상기 R기는 알릴성 수소를 대체하여, [RC3H4]이 되고, 2개의 R기로 치환되는 경우에는, [R1R2C3H3] (이 때, R1 및 R2 는 동일하거나 상이함)이 된다.
"알킬" 이라는 용어는, (단독으로 혹은 다른 용어(들)과 조합하여), 길이 면에서, 1 내지 약 8개의 탄소원자를 가지는 포화된 탄화수소 사슬을 지칭하며, 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실, 헵틸, 및 옥틸을 포함하나 이에 제한되지 않는다. 상기 알킬기는 직쇄형 또는 측쇄형일 수 있다. "알킬"이라는 용어는 알킬기의 모든 구조적 이성질체 형태로 포함하고자 하는 것이다. 예를 들어, 여기서 사용된 바의, 프로필은, n-프로필과 이소-프로필을 포함하며; 부틸은 n-부틸, sec-부틸, 이소부틸, 및 tert-부틸을 포함한다. 나아가, 여기서 사용된 바의 "Me" 는 메틸을 지칭하고, "Et" 는 에틸을 지칭하며, "iPr" 은 이소 프로필을 지칭하고, "tBu"는 tert-부틸을 지칭하며, "Np" 는 네오펜틸을 지칭한다. C2는, 디메틸이 아니라, 에틸기를 지칭하고자 하는 것임도 주목해야 한다.
일구현예에서, R1 및 R2는 독립적으로 C1-C8 알킬, 특히 C2-C8 알킬이다.
다른 구현예에서, R1 및 R2는 독립적으로, C3-C7 알킬이고, 보다 더 특별하게는 C4-C7 알킬이다.
일구현예에서, R1 및/또는 R2는 프로필이다. 따라서, R1 및/또는 R2는 n-프로필, 이소프로필, 또는 시클로프로필일 수 있다.
다른 구현예에서, R1 및/또는 R2는 부틸이다. R1 및/또는 R2는 n-부틸, sec-부틸, 이소부틸, 또는 tert-부틸일 수 있다. 특별한 구현예에서, R1 및/또는 R2는 tert-부틸이다.
다른 구현예에서, R1 및/또는 R2는 펜틸이다. R1 및/또는 R2는 네오펜틸("Np"), 직쇄형, 또는 이소펜틸일 수 있다. 특별한 구현예에서, R1 및/또는 R2는 네오펜틸이다.
다른 구현예에서, R1 및/또는 R2는 헥실이다.
다른 구현예에서, R1 및/또는 R2는 헵틸이다.
다른 구현예에서, R1 및/또는 R2는 옥틸이다.
여기서 기재된 바의, 변수 x 및 y는 얼마나 많은 특정 R 치환체가 적절한 탄소에 부착되었는지를 나타내기 위해 사용된다.
일구현예에서, 상기 전구체는 구조적으로 식 I에 해당하되, 상기 식에서, R1 은 C1-C8 알킬이고, R2는 C3-C8 알킬이며, x는 0, 1, 또는 2이고, y는 1이다.
예를 들어, 이러한 전구체는 하기를 포함하나 이에 제한되지 않는다:
Figure 112012018577319-pct00003
Figure 112012018577319-pct00004
일구현예에서, 전구체는 구조적으로 식 I에 해당하되, 여기서 R1은 C3-C8 알킬이고, R2는 C1-C8 알킬이며, x는 0, 1, 또는 2이고, y는 1이다.
일구현예에서, 만일 x가 0, 1, 또는 2인 경우, y는 1이다. 이러한 구현예에서, 유기 금속성 전구체는 구조적으로 식 Ia에 해당한다:
Figure 112012018577319-pct00005
여기서, R1 및 R2는 독립적으로 C2-C8 알킬이며, x는 0, 1, 또는 2이다.
특별한 구현예에서, x는 0이다.
다른 특별한 구현예에서, x는 1이다.
다른 특별한 구현예에서, x는 2이다.
일구현예에서, y가 0인 경우, x는 1 또는 2이다. 따라서, 이러한 구현예에서, 유기 금속성 전구체는 구조적으로 식 Ib에 해당한다:
Figure 112012018577319-pct00006
여기서, R1 는 C2-C8 알킬이며, x는 1 또는 2이다.
특별한 구현예에서, x는 1이다.
다른 특별한 구현예에서, x는 2이다.
식 Ia 또는 Ib의 이러한 전구체의 예들은 하기를 포함하나, 이에 제한되지는 않는다:
Figure 112012018577319-pct00007
일부 구현예에서, 중심 금속은 코발트와 다를 수 있음도 주목해야 한다. 예를 들어, 중심 금속은, Fe, Ru, Ni, Mn, Rh, W, Ta 또는 Ir일 수 있다. 이들 전구체는, 본 명세서에서 언급된 것과 같이 CVD 또는 ALD 법에서 사용되어, 이들 각각의 금속 박막을 형성할 수 있다. 예를 들어, 이들 유기 금속 전구체는 하기 식을 가진다:
Figure 112012018577319-pct00008
여기서, M은 예를 들어, Fe, Ru, Ni, Mn, Rh, W, Ta 또는 Ir 등 사용 가능한 임의의 금속일 수 있으며; R1, R2, x 및 y는 본 명세서에서 정의된 바와 같다.
다른 구현예에서, 구조적으로 하기 식 R-알릴xM(CO)y-x (여기서, x =1 또는 2, y = 2, 3 또는 4이고; R은 알킬, 알케닐, 알키닐, 또는 알콕시 등의 치환체임)에 해당하는 전구체를 제조하는 방법이 제공된다. 상기 방법은 하기 단계를 포함한다:
(a) -25℃ 미만에서 Me2O 내에 M2(CO)(2y) + Na/K를 합쳐서 M(CO)y -를 생산함;
(b) -25℃ 미만에서 M(CO)y - + R-알릴xRa (Ra= Cl-, Br-, F- 또는 그 외 적절한 할라이드)를 합쳐서, 용액 중의 R-알릴xM(CO)y-x를 수득함;
(c) 용액 중의 R-알릴xM(CO)y-x를 가열하여 용매를 제거함; 및
(d) 최종 생성물, R-알릴xM(CO)y-x를 증류해 냄.
일구현예에서, 코발트는, 금속("M")으로서 사용된다. 그러나, 상기 방법은 다른 금속, 예를 들어, Fe, Ru, Ta, Ni, Mn, Rh, W 또는 Ir에도 적용될 수 있다.
예를 들어, R-알릴Co(CO)3가 제조될 수 있다. 상기 방법은,
(a) -25℃ 미만에서 Me2O 내에 Co2(CO)8 + Na/K 를 합쳐서 Co(CO)4 - (Na+/K+) 를 수득하는 단계;
(b) -25℃ 미만에서 Co(CO)4 - (Na+/K+) + R-알릴Ra (Ra= Cl-, Br-, F- 또는 그 외 적절한 할라이드)를 합쳐서 용액 중의 R-알릴Co(CO)3 를 수득하는 단계;
(c) 용액 중의 R-알릴Co(CO)3을 가열하여 용매를 제거하는 단계; 및
(d) 최종 생성물 R-알릴Co(CO)3를 증류해 내는 단계를 포함한다.
본 발명의 방법은, 하기와 같은 미치환 알릴 코발트 전구체를 형성하기 위해 사용되는 다단계 공정과는 구별된다: THF 내에서 Co2(CO)8 + Na/K 합금 → Co(CO)4 - (Na+/K+) + THF의 제거 → < 0℃ 에서 다량의 Et2O + R-알릴Ra 부가 → R-알릴Co (CO)3 + K+Ra/Na+Ra+ CO. 상기 공정은 생성물과 용매 분리 문제를 야기할 수 있다. 이와 대조적으로, 개시된 본 발명의 방법은 단순한 원 팟(one pot) 반응으로서, 용매량의 보다 원활한 조절이 가능해진다.
다른 구현예에서, 증착 공정에 의해 금속 함유 필름을 형성하는 방법이 제공된다. 상기 방법은, 구조적으로 여기 개시된 식들 중 임의의 것에 해당하는 하나 이상의 전구체를 사용하는 것을 포함한다.
특별한 구현예에서, 전구체는 적절한 탄화수소 또는 아민 용매 내에 용해될 수 있다. 적절한 탄화수소 용매는, 헥산, 헵탄 및 노난 등의 지방족 탄화수소; 톨루엔 및 자이렌 등의 방향족 탄화수소; 디글림(digylme), 트리글림(triglyme) 및 테트라글림(tetraglyme) 등의 지방족 및 고리형 에테르를 포함하나 이에 제한되지 않는다. 적절한 아민 용매의 예들은, 옥틸아민 및 N,N-디메틸도데실아민 등을 포함하나 이에 제한되지는 않는다. 예를 들어, 전구체는 톨루엔에 용해되어 0.05 내지 1M 용액을 제공할 수 있다.
일구현예에서, 증착 공정은 화학증착이다.
다른 구현예에서, 증착 공정은, 원자막 퇴적이다.
본 발명의 ALD 및 CVD 법은 다양한 타입의 ALD 및 CVD를 포함하며, 이는 전통적인 공정, 액체 주입형 공정, 광 보조형 공정 및 플라즈마 보조형 공정을 포함하나, 이에 제한되지 않는다.
일구현예에서, 전통적인 CVD 법은, 여기에 개시된 식들에 따른 하나 이상의 전구체를 사용하여 금속 함유 박막을 형성하기 위해 사용된다. 전통적인 CVD 공정의 경우, 예를 들어, Smith, Donald의 (1995). Thin-Film Deposition: Principles and Practice (McGraw-Hill)을 참조한다.
다른 구현예에서, 액체 주입형 CVD는, 여기 개시된 식들에 따른 하나 이상의 전구체를 사용하여 금속함유 박막을 형성하기 위해 사용된다.
액체 주입형 CVD 성장 조건의 예들은 하기를 포함하나 이에 제한되지는 않는다:
(1) 기재 온도: Si(100) 상에서 100 - 600℃
(2) 증발기 온도: 100 - 200℃
(3) 반응기 압력: 1 - 100 mbar
(4) 용매: 톨루엔 또는 위에서 언급한 임의의 용매
(5) 용액 농도: 0.05 - 0.2 M
(6) 주입 속도: 10 - 50 ㎤ hr1
(7) 아르곤 유량: 100 - 300 ㎤ min-1
(8) 산소 유량: 0 - 200 ㎤ min-1
(9) 수소 유량: 0 - 200 cm3 min-1
(10) 운전 시간: 5 - 30 min
다른 구현예에서, 광보조형 CVD는, 여기에 개시된 식들에 따른 하나 이상의 전구체를 사용하여 금속 함유 박막을 형성하기 위해 사용된다.
추가의 구현예에서, 전통적인 ALD는 여기 개시된 식들에 따른 하나 이상의 전구체를 사용하여 금속 함유 박막을 형성하기 위해 사용된다. 전통적인 및/또는 펄스 주입형 ALD 공정에 대하여는 예를 들어, George S. M., 등의. J. Phys. Chem. 1996. 100:13121-13131을 참조한다.
다른 구현예에서, 액체 주입형 ALD는 여기 개시된 식들에 따른 하나 이상의 전구체를 사용하여 금속 함유 박막을 형성하기 위해 사용되며, 이 경우, 적어도 하나의 액체 전구체는 버블러(bubbler)에 의한 증기 드로우(vapor draw)에 반대되는, 직접 액체 주입에 의해 반응 체임버로 배달된다. 액체 주입형 ALD 공정에 대하여는, Potter R. J., 등의. Chem . Vap . Deposition. 2005. 11(3): 159를 참조한다.
액체 주입형 ALD 성장 조건의 예들은 하기를 포함하나 이에 제한되지는 않는다:
(1) 기재 온도: 50-300℃
(2) 증발기 온도: 100 - 200℃
(3) 반응기 압력: 1 - 100 5mbar
(4) 용매: 톨루엔 또는 전술한 임의의 용매
(5) 용액 농도: 0.05 - 0.2M
(6) 주입 속도: 약 2.5㎕ 펄스-1 (4 펄스 사이클-1)
(7) 불활성 가스 유량: 100 - 300 ㎤ min-1
(8) 반응성 가스 유량: 0 - 200 ㎤ min-1
(9) 펄스 시퀀스 (sec.) (전구체/퍼지/반응성 가스/퍼지): 체임버 크기에 따라 변화
(10) 사이클 횟수: 소망하는 필름 두께에 따라 변화
다른 구현예에서는, 광보조형 ALD를 사용하여 여기 개시된 구조식에 따른 하나 이상의 전구체를 사용하여 금속함유 박막을 형성한다. 광보조형 ALD 공정에 대하여는, 예를 들어 미국특허 제 4,581,249호를 참조한다.
다른 구현예에서, 여기 개시된 구조식에 따른 하나 이상의 전구체를 사용하여 금속 함유 박막을 형성하기 위해 플라즈마 보조형 ALD를 사용한다.
따라서, 이들 방법에서 사용되는 여기 개시된 식에 따른 유기 금속성 전구체들은 액체, 고체, 또는 기체상일 수 있다. 특히, 전구체는 높은 증기압으로 주변 온도에서 액체 상태여서, 공정 체임버에 대한 증기의 일관된 이송을 가능케 한다.
일구현예에서, 여기 개시된 식에 해당하는 전구체들은 반응성 산소 화학종 등의 산소 공급원의 펄스와 교호하여 펄스 형태로 기재에 배달된다. 이러한 산소 공급원의 예들은, 비제한적으로, H2O, O2 및/또는 오존을 포함한다.
일구현예에서, 코발트 함유 박막이 형성된다.
다른 구현예에서, 코발트 산화물 박막이 형성된다.
다른 구현예에서, 코발트 질화물 박막이 형성된다.
다른 구현예에서, 구조적으로 여기 개시된 식들에 해당하는 2종 이상의 전구체가 박막 형성을 위해 사용될 수 있다.
다른 구현예에서, "혼합형" 금속박막이 형성된다. 이러한 방법은, "혼합형" 금속 필름을 형성하기 위해 적어도 하나의 "공-전구체"를 사용하는 것을 포함한다. 여기서 사용된 바의 혼합 금속필름은 적어도 2종의 상이한 금속을 포함한다.
특별한 구현예에서, 구조적으로 여기에 개시된 식에 해당하는 코발트 전구체는, 하나 이상의 Ta, Si, Fe, Ru, Ni, Mn, Rh, W, Ir 전구체와 함께 CVD 또는 ALD에서 사용되어, 코발트-탄탈륨 필름, 코발트-규소 필름, 코발트-철 필름 등의 혼합 금속 필름을 형성할 수 있다.
일구현예에서, 코발트 및 규소를 포함하는 박막이 형성된다.
특별한 구현예에서, 코발트-규소 산화물 박막 등의 혼합 금속 산화물 박막이 형성된다.
특별한 구현예에서, 코발트-규소 질화물 박막 등 혼합 금속 질화물 박막이 형성된다.
유전체 막은, 여기 개시된 식들에 상응하는 하나 이상의 전구체에 의해, 독립적으로 혹은 다른 공반응물과 조합하여, 형성될 수 있다. 이러한 공반응물의 예들은, 수소, 수소 플라즈마, 산소, 공기, 물, H2O2, 암모니아, 히드라진, 알킬-치환형 히드라진, 보란(borane), 실란, 오존, 또는 다른 조합을 포함하나 이에 제한되지 않는다.
특별한 구현예에서, 히드라진 또는 알킬 치환된 히드라진 등의 공반응물은 여기 개시된 식들에 해당하는 하나 이상의 전구체를 사용하여 필름을 형성하기 위해 사용된다. 예를 들어, N,N-디메틸히드라진(DMHz), tert-부틸히드라진 및/또는 트리실란이 공반응물로서 사용될 수 있다.
다양한 기재가 본 발명의 방법에서 박막을 지지하기 위해 사용될 수 있다. 예를 들어, 여기 개시된 식에 따른 전구체들은 퇴적을 위해, 규소, 산화규소, 질화규소, 탄탈륨, 질화 탄탈륨, 구리, 루테늄, 질화 티타늄, 텅스텐, 및 질화 텅스텐 등의 기재에 배달된다.
일구현예에서, 상기 방법은 다이내믹 랜덤 액세스 메모리(DRAM) 등의 응용 분야와 메모리를 위한 상보형 금속 산화물 반도체(CMOS), 그리고 예를 들어 실리콘칩 상의 논리 응용 분야를 위해 사용될 수 있다.
열 주도형 CVD 공정과 반응성 주도형 ALD 공정에는 근본적인 차이가 존재한다. 최적의 성능을 달성하기 위한 전구체의 물성에 대한 요구 조건들이 크게 다르다. CVD에서는, 기재 상에 필요한 화학종을 퇴적하기 위해 전구체의 깨끗한 열분해가 매우 중요하다. 그러나, ALD에서는, 이러한 열 분해는 어떠한 경우에도 피해야 하는 것이다. ALD에서는, 투입 시약들 사이의 반응이 반드시 신속하게 이루어져 기재 상에 표적 물질을 형성해야만 한다. 그러나, CVD의 경우, 화학종들 사이의 이러한 반응들은, 기재에 도달하여 입자를 발생시키기 전 이들 가스 상의 혼합을 초래하기 때문에 치명적인 것이다. 일반적으로, 우수한 CVD 공급원은 ALD 공급원으로서는 좋지 않고, 그 역 또한 성립하며, 따라서, 본 발명의 치환된 알릴 전구체가, 상이한 공정 조건 하에서임에도 불구하고 ALD와 CVD 공정 모두에서 잘 작용한다는 것은 매우 놀라운 사실이다.
치환된 알릴 전구체의 경우, 종래 기술의 전구체와 비교할 때, 퇴적 공정에 대한 상이한 온도 허용 범위(temperature window)가 가능해진다. 이는, 이들 치환된 알릴 전구체를 다른 금속 공급원과 매칭되게 하여 최적화된 방식으로 3원 합금 또는 4원 합금을 퇴적시키려고 시도할 경우 더 많은 조작의 가능성을 제공하는 것이다.
실시예
하기 실시예들은 단지 설명을 위한 것이며, 어떠한 방식으로든 본 개시 내용을 제한하지 않는다.
전통적인 합성공정은 용매로서 Et2O를 사용한다. 원료 물질/중간물이 Et2O에 별로 잘 용해되지 않기 때문에 다량의 용매가 필요하다. 이들 물질이 보다 더 잘 용해될 수 있는 대안적 용매, 예를 들어, THF(테트라히드로퓨란)-Me2O가 대량 합성 및 요구되는 시약 부피의 감소를 위해 보다 유리하다.
미치환 전구체, 알릴Co(CO)3의 경우, THF는 용매로서 사용될 수 없는데, 이는 생성물과 유사한 휘발성을 가지므로 분리될 수 없기 때문이다. 2개의 단계들은, 제1 환원 단계에서 THF를 효율적으로 사용할 수 있게 하며, 이어서 이는 Et2O 보다는 더 휘발성 용매인 Me2O에 의해 대체되어 생성물 형성 단계 중에 용매의 요구 조건을 최소화하는 동시에, 용매의 용이한 제거로 인해 순수한 화합물의 손쉬운 분리를 가능케 한다. 본 발명의 신규의 화합물은 휘발성에서의 차이가 더 커져서, THF와 생성물이 분리될 수 있게 한다. 따라서, 단일 용매가 모든 단계에서 사용될 수 있어, 제안되는 바의 신규의 원 팟 공정을 가능케 한다.
실시예 1 - Co 3 - tert - 부틸알릴 )( CO ) 3 또는 Co 3 - 네오펜틸알릴 )( CO ) 3 을 제조하기 위한 2단계 공정
Figure 112012018577319-pct00009
단계 1
Na[Co( CO ) 4 ]/K[Co( CO ) 4 ]
THF (약 600 ml) 내의 Co2(CO)8 (44.6g, 0.142몰) 용액을 0℃로 냉각된 THF (약 150 ml) 내의 NaK (16ml, 22/78) 현탁액에 2시간에 걸쳐 적가하였다. 상기 용액을 약 1시간 정도 교반하고, 셀라이트의 작은 플러그를 통해 여과시켜, 용매를 진공 하에 제거하였다. 분리된 매우 옅은 노란색 고체를, 후속적으로 50℃에서 밤새 건조시켜 미량의 THF를 모두 제거하였다.
단계 2
Co(η 3 - tert -부틸알릴)(CO) 3
단계 1로부터의 K[Co(CO)4] (26.2g, 0.125몰)을, 드라이 아이스로 냉각되고 -50℃의 컨덴서를 장착한 플라스크 내의 Me2O (약 175ml) 내에 용해시켰다. tert-부틸알릴브로마이드 (2-브로모메틸-3,3-디메틸부트-1-엔, 22.1g, 18.4 ml, 0.125 몰)을 반응 혼합물에 부가하였다. 드라이아이스 냉각부를 제거하고, 반응 혼합물이 가온될 수 있게 하면서 밤새 교반하였다. 이제 짙은 적갈색 혼합물이 막 보이기 시작하는 침전물을 발생시켰다. Me2O를 수시간에 걸쳐 비등 제거하고, 오일상의 잔류물을 펜탄(2×50ml)으로 추출하고, 셀라이트의 작은 플러그를 통해 여과시키고, 셀라이트를 펜탄(2×20ml)으로 세정하였다.
수펌프 진공에서 대부분의 펜탄을 제거하고, 진한 액체를 250ml 플라스크로 옮겨 담았다. 10cm B 14 Vigreux 컬럼에서 증류하여 79℃에서 시작하는 19.2g (64%)의 황갈색 분획을, 17mmHg, 85 내지 87℃ 에서, 두번째의 주요 분획을 수득하였다.
IR (헥산): 2063m, 2000s, 1994s sm-1
NMR (C6D6) 1H ; 0.87 (s, 9H, tBu), 1.65 (s, 2H, Hantl), 2.74 (s, 2H, Hsyn),13C{1H}30.3 (s, tBu), 34.0 (s, CtBu), 46.8 (s, CH2), 113.8 (s, C), 204.0 (s, br, CO)
분석 계산: %C = 50.0, %H = 5.5, %Co = 24.5
Co(η 3 -네오펜틸알릴)(CO) 3
단계 1로부터의 K[Co(CO)4] (22.8g, 0.109몰)을, 드라이아이스로 냉각되고 -50℃의 컨덴서를 장착한 플라스크에서 Me2O (약 100ml) 내에 용해시켰다. 네오펜틸알릴 브로마이드 (2-브로모메틸-4,4-디메틸펜트-1-엔(23.5g, 0.123 몰)을 -78℃까지 냉각된 반응 혼합물에 적가하였다. 드라이아이스 냉각부를 제거하고, 반응 혼합물이 가온될 수 있게 하면서 밤새 교반하였다. 이제 짙은 적색/주황색 혼합물이 막 보이기 시작하는 침전물을 발생시켰다. Me2O를 수시간 동안 비등 제거될 수 있게 하고, 생성물을 펜탄 (4×50ml)으로 추출하고, 셀라이트의 작은 플러그를 통해 여과시키고, 대부분의 펜탄을 (13㎜Hg) 수펌프 상에서 제거하였다. 상기 물질은 3 내지 4 ㎜Hg에서 오일욕을 사용하여 증류하였다. 옅은 노란색 액체가 50℃에서 (약 3ml, 4.1g) 증류되기 시작하였고, 이어서 온도는 72℃로 올라갔다. 이러한 물질을, 짙은 주황색-적색 액체로서 별도로 수집하였다 (16.4g, 59%).
IR (헥산) 2062m, 1996s cm-1
NMR (C6D6) 1H ; 0.76 (s, 9H, tBu), 1.70 (s, 2H, CH2), 1.87 (s, 2H, Hanti), 2.77(s, 2H, Hsyn), 13C{1H}29.3 (s, tBu), 32.1 (s, CtBu), 54.1 (s, CH2But), 54.2 (s CH2), 101.4 (s, C), 203.8 (s, br, CO)
분석 계산: %C = 51.98, %H = 5.95, %Co = 23.19
실시예 2 - Co(η 3 -tert-부틸알릴)(CO) 3 또는 Co(η 3 -네오펜틸알릴)(CO) 3 을 제조하기 위한 단일 단계 공정
Figure 112012018577319-pct00010
용매로서 THF를 사용한 Co(η 3 -tert-부틸알릴)(CO) 3
THF (약 600 ml) 내의 Co2(CO)8 (22.3g, 0.071 몰) 용액을 0℃로 냉각된 THF (약 150 ml) 내의 NaK (8ml, 22/78) 현탁액에 2시간에 걸쳐 적가하고, 대략 1시간 동안 교반하였다. tert-부틸알릴브로마이드 (2-브로모메틸-3,3-디메틸부트-1-엔, 22.1g, 18.4 ml, 0.125 몰)을 -30℃에서 상기 반응 혼합물에 부가하고, 반응 혼합물을 이 온도에서 밤새 교반하였다. 반응 혼합물을 가온될 수 있게 한 후, 진공 하에서 THF를 제거한 다음, 잔류물을 펜탄 (4×50ml)으로 추출하였다. 여과 후, 펜탄을 진공하에 제거한 후, 증류에 의하여 85-87℃, 17 mmHg에서 생성물을 수집하였다.
용매로서 Me 2 O를 사용한 Co(η 3 -tert-부틸알릴)(CO) 3
Me2O (약 600 ml) 내의 Co2(CO)8 (22.3g, 0.071 몰) 용액을 -30℃로 냉각된 Me2O (약 150 ml) 내의 NaK (8ml, 22/78) 현탁액에 2시간에 걸쳐 적가하고, 대략 2시간 동안 교반하였다. tert-부틸알릴브로마이드 (2-브로모메틸-3,3-디메틸부트-1-엔, 22.1g, 18.4 ml, 0.125 몰)을 -30℃에서 상기 반응 혼합물에 부가하고, 전체를 이 온도에서 밤새 교반하였다. 냉각부를 제거한 다음, Me2O가 비등 제거될 수 있게 하고, 잔류물을 펜탄 (4×50ml)으로 추출하였다. 여과 후, 펜탄을 진공 하에 제거한 후, 증류에 의하여 85-87℃, 17 mmHg에서 생성물을 수집하였다.
용매로서 THF를 사용한 Co(η 3 -네오펜틸알릴)(CO) 3
THF (약 600 ml) 내의 Co2(CO)8 (22.3g, 0.071 몰) 용액을 0℃로 냉각된 THF (약 150 ml) 내의 NaK (8ml, 22/78) 현탁액에 2시간에 걸쳐 적가하고, 대략 1시간 동안 교반하였다. 네오펜틸알릴 브로마이드 (2-브로모메틸-4,4-디메틸펜트-1-엔, 23.9g, 0.125 몰)을 -30℃에서 상기 반응 혼합물에 부가하고, 반응 혼합물을 이 온도에서 밤새 교반하였다. 반응 혼합물을 가온될 수 있게 한 후, 진공 하에서 THF를 제거하고, 잔류물을 펜탄 (4×50ml)으로 추출하였다. 여과 후, 펜탄을 진공하에 제거한 후, 증류에 의하여 72℃, 3-4 mmHg에서 생성물을 수집하였다.
용매로서 Me 2 O 를 사용한 Co 3 - 네오펜틸알릴 )( CO ) 3
Me2O (약 600 ml) 내의 Co2(CO)8 (22.3g, 0.071 몰) 용액을 -30℃로 냉각된 Me2O (약 150 ml) 내의 NaK (8ml, 22/78) 현탁액에 2시간에 걸쳐 적가하고, 대략 2시간 동안 교반하였다. 네오펜틸알릴 브로마이드 (2-브로모메틸-4,4-디메틸펜트-1-엔, 23.9g, 0.125 몰)을 -30℃에서 상기 반응 혼합물에 부가하고, 전체를 이 온도에서 밤새 교반하였다. 이어서, 냉각부를 제거하고, Me2O가 비등 제거될 수 있게 하고, 잔류물을 펜탄 (4×50ml)으로 추출하였다. 여과 후, 펜탄을 진공 하에 제거하고, 증류에 의하여 72℃, 3-4 mmHg에서 생성물을 수집하였다.
도 1은 (t-부틸)알릴Co(CO)3 에 대한 TGA 데이타를 나타낸다.
도 2는, (Np)알릴Co(CO)3 에 대한 TGA 데이타를 나타낸다.
실시예 3 - CVD 연구
전통적인, 펄스형 CVD 실험을, 버블링/증기 드로우 전구체 유입부 시스템을 장착한 자체 제작 장비를 사용하여 수행하였다. 순수 금속을 표적으로 하는 CVD 실험 동안에 질소 가스가 캐리어/퍼지가스로서 사용되었다. H-말단형 규소 또는 열 규소 산화물 상에, 하기 식의 (1) (t-부틸)알릴Co(CO)3 또는 (2) (Np)알릴Co(CO)3 의 순수 샘플을 사용하여 필름을 퇴적시켰다:
Figure 112012018577319-pct00011
전구체 공급원으로서 (Np)알릴Co(CO)3를 사용한 CVD의 경우 (45℃), 증기 드로우 전구체 배달법을 사용하였다. 운전은 150℃의 기재 온도 및 500회의 사이클로, 공-시약/반응물로서 N,N-디메틸히드라진을 사용하여 수행하였다.
Figure 112012018577319-pct00012
도 3은 온도에 대한 (Np)알릴Co(CO)3의 열퇴적을 나타낸 것이다. (사이클 당 성장 (옹스트롱/사이클) vs 기재 온도)
전구체 공급원으로서 (t-부틸)알릴Co(CO)3를 사용한 CVD의 경우 (35℃), 버블링 배달법이 사용되었다. 운전은 150℃의 기재 온도 및 500 사이클로, N,N-디메틸히드라진을 공-시약/반응물로 하여 수행하였다.
Figure 112012018577319-pct00013
도 4는 온도에 대한 (t-부틸)알릴Co(CO)3의 열퇴적을 나타낸 것이다. (사이클 당 성장 (옹스트롱/사이클) vs 기재 온도)
실시예 4- ALD 연구
전통적인 ALD 실험을, 버블링/증기 드로우 전구체 유입부 시스템을 장착한 자체 제작 장비를 사용하여 수행하였다. 각각의 전구체에 대하여 증기 드로우 전구체 배달법을 사용하였다. ALD 실험은 순수금속을 표적으로 하였으며, 질소 가스를, 캐리어/퍼지 가스로서 사용하였고 교대 펄스 사이클로 사용하였다. 각각의 전구체는 50℃에서 사용되었다. 운전은 150℃의 기재 온도에서 N,N-디메틸히드라진을 공-시약/반응물로 하여 수행하였다. H-말단형 규소 또는 열적 규소 산화물 상에, 하기 식의 (1) (t-부틸)알릴Co(CO)3 또는 (2) (Np)알릴Co(CO)3 의 순수 샘플을 사용하여 필름을 퇴적시켰다:
Figure 112012018577319-pct00014
각 전구체에 대하여 성장 조건은 동일하였다.
Figure 112012018577319-pct00015
도 5는 (Np)알릴Co(CO)3을 사용하였을 때 두께(옹스트롱) vs 사이클 횟수의 그래프를 나타낸 것이다.
도 6은, (t-부틸)알릴Co(CO)3을 사용하였을 때 두께(옹스트롱) vs 사이클 횟수의 그래프를 나타낸 것이다.
신규한 전구체, (Np)알릴Co(CO)3 및 (t-부틸)알릴Co(CO)3가 미치환 알릴Co(CO)3보다 더 높은 열적 안정성을 제공하여, 낮은 열분해 온도로 인해 알릴Co(CO)3로는 달성될 수 없었던 ALD 공정이 가능하게 되었음이 확인되었다.
(Np)알릴Co(CO)3 및 (t-부틸)알릴Co(CO)3은 150℃까지 열적으로 안정하여, 이 온도에서 CVD가 관찰되지 않았다. 미치환 전구체 알릴Co(CO)3와는 달리, (Np)알릴Co(CO)3 및 (t-부틸)알릴Co(CO)3는 CVD 윈도우 아래의 온도에서는 ALD 거동을 나타내었다. N,N-디메틸히드라진 혹은 t-부틸 히드라진 등 히드라진과 조합하여 사용된 경우, 코발트 필름의 ALD 성장이 기재온도 150℃에서 관찰되었다. 따라서, (Np)알릴Co(CO)3 및 (t-부틸)알릴Co(CO)3 등 벌키한 치환체를 가진 알릴 전구체는 ALD를 사용한 고품질 필름 퇴적에 매우 적합한 것임이 명백하다. 미치환 혹은 작은 알킬 치환 전구체가 아니라, (Np)알릴Co(CO)3 및 (t-부틸)알릴Co(CO)3를 가지고 CVD 윈도우 아래에서 ALD를 수행할 수 있음은 놀라운 것이다.
본 명세서에서 인용된 모든 특허와 간행물은 원용에 의해 전체로서 본 출원에 포함된다.
"포함하는" 및 "포함한다"는 독점적이기 보다는 포괄적인 것으로 해석되어야 한다.

Claims (26)

  1. 증착 공정에 의해 코발트 함유 박막을 형성하는 방법으로서, 상기 방법은 아래 물질로 구성되는 군으로부터 선택되는 하나 이상의 전구체를 사용하는 단계를 포함하는, 방법:
    Figure 112015020014805-pct00032
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 제1항에 있어서,
    상기 증착 공정은 화학증착인 것을 특징으로 하는 방법.
  9. 제8항에 있어서,
    상기 화학 증착은, 액체 주입형 화학증착인 것을 특징으로 하는 방법.
  10. 제1항에 있어서,
    상기 증착 공정은, 원자막 퇴적인 것을 특징으로 하는 방법.
  11. 제10항에 있어서,
    상기 원자막 퇴적은 액체 주입형 원자막 퇴적 또는 펄스 주입형 원자막 퇴적인 것을 특징으로 하는 방법.
  12. 삭제
  13. 제1항에 있어서,
    상기 하나 이상의 전구체는, H2O, O2 및 오존으로 이루어진 군으로부터 선택되는 산소 공급원 펄스와 교호되는 펄스로 기재에 배달되어 금속 산화물 필름을 형성하는 것을 특징으로 하는 방법.
  14. 삭제
  15. 제13항에 있어서,
    Ta, Si, Fe, Ru, Ni, Mn, Rh, W 및 Ir 로 이루어진 군으로부터 선택되는 금속을 가진 하나 이상의 공-전구체를 사용하여 혼합 금속 산화물 필름을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  16. 제15항에 있어서,
    상기 혼합 금속 산화물 필름은 코발트와 규소를 포함하는 것을 특징으로 하는 방법.
  17. 제1항에 있어서,
    수소, 수소 플라즈마, 산소, 공기, 물, 암모니아, 히드라진, 알킬 치환된 히드라진, 보란, 실란, 오존 및 이들의 조합으로 이루어진 군으로부터 선택되는 하나 이상의 공반응물을 사용하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  18. 제10항에 있어서,
    공반응물로서 알킬치환된 히드라진을 사용하여 코발트 함유 박막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  19. 삭제
  20. 삭제
  21. 제1항에 있어서,
    형성된 상기 박막은 코발트-질화물 또는 코발트-규소 박막을 포함하는 것을 특징으로 하는 방법.
  22. 삭제
  23. 삭제
  24. 아래 구조에 부합하는 유기 금속 전구체:
    Figure 112015020014805-pct00022
  25. 삭제
  26. 삭제
KR1020127006060A 2009-08-07 2010-07-27 고분자량 알킬알릴 코발트트리카르보닐 착체 및 유전체 박막 제조를 위한 그 용도 KR101538982B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US23221909P 2009-08-07 2009-08-07
US61/232,219 2009-08-07
US30139010P 2010-02-04 2010-02-04
US61/301,390 2010-02-04

Publications (2)

Publication Number Publication Date
KR20120043077A KR20120043077A (ko) 2012-05-03
KR101538982B1 true KR101538982B1 (ko) 2015-07-23

Family

ID=42647377

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127006060A KR101538982B1 (ko) 2009-08-07 2010-07-27 고분자량 알킬알릴 코발트트리카르보닐 착체 및 유전체 박막 제조를 위한 그 용도

Country Status (9)

Country Link
US (1) US9028917B2 (ko)
EP (2) EP3150614B1 (ko)
JP (1) JP5600351B2 (ko)
KR (1) KR101538982B1 (ko)
CN (1) CN102574884B (ko)
IL (1) IL217751A (ko)
SG (1) SG178267A1 (ko)
TW (1) TWI519666B (ko)
WO (1) WO2011017068A1 (ko)

Families Citing this family (208)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2432363B (en) * 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
TWI382987B (zh) * 2007-07-24 2013-01-21 Sigma Aldrich Co 應用於化學相沉積製程的有機金屬前驅物
TWI425110B (zh) 2007-07-24 2014-02-01 Sigma Aldrich Co 以化學相沉積法製造含金屬薄膜之方法
JP2010539709A (ja) 2007-09-14 2010-12-16 シグマ−アルドリッチ・カンパニー モノシクロペンタジエニルチタン系前駆体を用いる原子層成長によるチタン含有薄膜の作製方法
TWI467045B (zh) 2008-05-23 2015-01-01 Sigma Aldrich Co 高介電常數電介質薄膜與使用鈰基前驅物製造高介電常數電介質薄膜之方法
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
EP3150614B1 (en) 2009-08-07 2017-11-29 Sigma-Aldrich Co. LLC High molecular weight alkyl-allyl cobalttricarbonyl complexes and use thereof for preparing dielectric thin films
EP2609102B1 (en) 2010-08-27 2014-12-31 Sigma-Aldrich Co. LLC Molybdenum (iv) amide precursors and use thereof in atomic layer deposition
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8927748B2 (en) 2011-08-12 2015-01-06 Sigma-Aldrich Co. Llc Alkyl-substituted allyl carbonyl metal complexes and use thereof for preparing dielectric thin films
EP2807174B1 (en) 2012-01-26 2016-03-30 Sigma Aldrich Co. LLC Molybdenum allyl complexes and use thereof in thin film deposition
WO2013148880A1 (en) 2012-03-27 2013-10-03 Novellus Systems, Inc. Tungsten feature fill
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR102193925B1 (ko) 2012-09-25 2020-12-22 엔테그리스, 아이엔씨. 코발트계 박막의 저온 ald 또는 cvd를 위한 코발트 전구체
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9153482B2 (en) 2014-02-03 2015-10-06 Lam Research Corporation Methods and apparatus for selective deposition of cobalt in semiconductor processing
WO2015138390A1 (en) * 2014-03-13 2015-09-17 Sigma-Aldrich Co. Llc Molybdenum silylcyclopentadienyl and silylallyl complexes and use thereof in thin film deposition
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) * 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
CN112292384A (zh) * 2018-07-27 2021-01-29 优美科股份公司及两合公司 有机金属化合物
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
JP7148377B2 (ja) * 2018-12-03 2022-10-05 田中貴金属工業株式会社 ルテニウム錯体からなる化学蒸着用原料及び該化学蒸着用原料を用いた化学蒸着法
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7363819B2 (ja) 2018-12-26 2023-10-18 Jsr株式会社 導電性塗工膜形成用組成物及び基板の製造方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200124351A (ko) 2019-04-23 2020-11-03 삼성전자주식회사 코발트 전구체, 이를 이용한 코발트 함유막의 제조 방법 및 이를 이용한 반도체 소자의 제조 방법
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080110897A (ko) * 2006-04-11 2008-12-19 어플라이드 머티어리얼스, 인코포레이티드 코발트-함유 물질들을 형성하기 위한 프로세스

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4388021A (en) 1981-08-26 1983-06-14 Richard Weiss Method of and device for making canalization by advancing under pressure a string of sewer pipes
JPH07107190B2 (ja) 1984-03-30 1995-11-15 キヤノン株式会社 光化学気相成長方法
US4680953A (en) 1985-10-09 1987-07-21 Fabris Industrial Manufacturing Limited Roller entry guide relating to a rod mill
GB2208944B (en) 1987-08-19 1991-12-18 Stc Plc Welded two-part fibre tailed optoelectronic transducer package
US6541067B1 (en) * 1998-08-27 2003-04-01 Micron Technology, Inc. Solvated ruthenium precursors for direct liquid injection of ruthenium and ruthenium oxide and method of using same
GB9929279D0 (en) 1999-12-11 2000-02-02 Epichem Ltd An improved method of and apparatus for the delivery of precursors in the vapour phase to a plurality of epitaxial reactor sites
EP1282911B1 (en) * 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
GB0017968D0 (en) 2000-07-22 2000-09-13 Epichem Ltd An improved process and apparatus for the isolation of pure,or substantially pure,organometallic compounds
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US7419698B2 (en) 2001-10-26 2008-09-02 Sigma-Aldrich Co. Precursors for chemical vapor deposition
KR101266442B1 (ko) * 2002-11-15 2013-05-22 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
ATE397612T1 (de) 2003-03-17 2008-06-15 Sigma Aldrich Co Alkoholate der seltenerdmetalle als vorstufen für metalloxidschichten und -filme
GB0412790D0 (en) 2004-06-08 2004-07-14 Epichem Ltd Precursors for deposition of silicon nitride,silicon oxynitride and metal silicon oxynitrides
US7064224B1 (en) * 2005-02-04 2006-06-20 Air Products And Chemicals, Inc. Organometallic complexes and their use as precursors to deposit metal films
JP2006328526A (ja) * 2005-04-27 2006-12-07 Jsr Corp 金属膜の形成方法
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
GB2432371B (en) 2005-11-17 2011-06-15 Epichem Ltd Improved bubbler for the transportation of substances by a carrier gas
CN101365821B (zh) 2006-02-08 2011-10-05 Jsr株式会社 金属膜的形成方法
US7947814B2 (en) * 2006-04-25 2011-05-24 Air Products And Chemicals, Inc. Metal complexes of polydentate beta-ketoiminates
US20080132050A1 (en) * 2006-12-05 2008-06-05 Lavoie Adrien R Deposition process for graded cobalt barrier layers
TWI425110B (zh) 2007-07-24 2014-02-01 Sigma Aldrich Co 以化學相沉積法製造含金屬薄膜之方法
TWI382987B (zh) 2007-07-24 2013-01-21 Sigma Aldrich Co 應用於化學相沉積製程的有機金屬前驅物
JP2010539709A (ja) 2007-09-14 2010-12-16 シグマ−アルドリッチ・カンパニー モノシクロペンタジエニルチタン系前駆体を用いる原子層成長によるチタン含有薄膜の作製方法
CN101815807B (zh) 2007-09-14 2012-06-13 西格玛-奥吉奇有限责任公司 采用单环戊二烯基三烷氧基铪和锆前体通过原子层沉积制备薄膜的方法
WO2009086263A1 (en) 2007-12-28 2009-07-09 Sigma-Aldrich Co. Methods for preparing thin films using substituted pyrrolyl-metal precursors
TW200944535A (en) 2008-03-20 2009-11-01 Sigma Aldrich Co Purification and preparation of phosphorus-containing compounds
TW200949939A (en) 2008-05-23 2009-12-01 Sigma Aldrich Co High-k dielectric films and methods of producing using titanium-based β -diketonate precursors
WO2009143452A1 (en) 2008-05-23 2009-11-26 Sigma-Aldrich Co. High-k dielectric films and methods of producing using cerium-based precursors
TWI467045B (zh) 2008-05-23 2015-01-01 Sigma Aldrich Co 高介電常數電介質薄膜與使用鈰基前驅物製造高介電常數電介質薄膜之方法
TW200949006A (en) 2008-05-23 2009-12-01 Sigma Aldrich Co High-k dielectric films and methods of producing using titanium-based precursors
TW200951241A (en) 2008-05-30 2009-12-16 Sigma Aldrich Co Methods of forming ruthenium-containing films by atomic layer deposition
TW201014925A (en) 2008-06-20 2010-04-16 Sigma Aldrich Co Titanium pyrrolyl-based organometallic precursors and use thereof for preparing dielectric thin films
KR20110055655A (ko) 2008-08-18 2011-05-25 시그마-알드리치컴퍼니 밸브 어셈블리
JP2012533909A (ja) 2009-07-21 2012-12-27 シグマ−アルドリッチ・カンパニー、エルエルシー チタン含有組成物およびこの組成物のチタン含有薄膜形成のための使用方法
EP3150614B1 (en) 2009-08-07 2017-11-29 Sigma-Aldrich Co. LLC High molecular weight alkyl-allyl cobalttricarbonyl complexes and use thereof for preparing dielectric thin films
EP3922751A1 (en) 2009-11-02 2021-12-15 Sigma-Aldrich Co. LLC Solid precursor delivery assemblies and related methods
WO2011097100A1 (en) 2010-02-02 2011-08-11 Sigma-Aldrich Co. Vapor product delivery assemblies and related methods
WO2011112413A1 (en) 2010-03-10 2011-09-15 Sigma-Aldrich Co. Delivery assemblies and related methods
WO2011115878A1 (en) 2010-03-19 2011-09-22 Sigma-Aldrich Co. Methods for preparing thin fillms by atomic layer deposition using hydrazines
EP2609102B1 (en) 2010-08-27 2014-12-31 Sigma-Aldrich Co. LLC Molybdenum (iv) amide precursors and use thereof in atomic layer deposition
US8927748B2 (en) 2011-08-12 2015-01-06 Sigma-Aldrich Co. Llc Alkyl-substituted allyl carbonyl metal complexes and use thereof for preparing dielectric thin films
EP2807174B1 (en) 2012-01-26 2016-03-30 Sigma Aldrich Co. LLC Molybdenum allyl complexes and use thereof in thin film deposition

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080110897A (ko) * 2006-04-11 2008-12-19 어플라이드 머티어리얼스, 인코포레이티드 코발트-함유 물질들을 형성하기 위한 프로세스

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Polyhedron, Vol.15, pp.2237-2245 (1996) *
Polyhedron, Vol.15, pp.2237-2245 (1996)*

Also Published As

Publication number Publication date
EP2462148A1 (en) 2012-06-13
CN102574884A (zh) 2012-07-11
SG178267A1 (en) 2012-03-29
US9028917B2 (en) 2015-05-12
US20120177845A1 (en) 2012-07-12
TWI519666B (zh) 2016-02-01
WO2011017068A1 (en) 2011-02-10
EP3150614B1 (en) 2017-11-29
TW201120233A (en) 2011-06-16
JP2013501714A (ja) 2013-01-17
CN102574884B (zh) 2016-02-10
IL217751A0 (en) 2012-03-29
IL217751A (en) 2016-09-29
EP3150614A1 (en) 2017-04-05
JP5600351B2 (ja) 2014-10-01
KR20120043077A (ko) 2012-05-03

Similar Documents

Publication Publication Date Title
KR101538982B1 (ko) 고분자량 알킬알릴 코발트트리카르보닐 착체 및 유전체 박막 제조를 위한 그 용도
JP6596737B2 (ja) アミドイミン配位子を含む金属複合体
JP6209168B2 (ja) モリブデンアリル錯体及び薄膜堆積におけるその使用
KR101498732B1 (ko) 스트론튬 함유 박막의 형성 방법
EP3116884B1 (en) Molybdenum silylcyclopentadienyl and silylallyl complexes and use thereof in thin film deposition
US20090199739A1 (en) Organometallic compounds, processes for the preparation thereof and methods of use thereof
WO2006086329A1 (en) Processes for the production of organometallic compounds
JP2015015483A (ja) 金属含有膜を被着させるための金属エノラート前駆体
JP2007031431A (ja) タンタル及びニオブ化合物並びにそれらの化学蒸着(cvd)での使用
WO2009155507A1 (en) Titanium pyrrolyl-based organometallic precursors and use thereof for preparing dielectric thin films
US20090203928A1 (en) Organometallic compounds, processes for the preparation thereof and methods of use thereof
KR102638657B1 (ko) 사이클로펜타디에닐 리간드를 포함하는 금속 착화합물
US8927748B2 (en) Alkyl-substituted allyl carbonyl metal complexes and use thereof for preparing dielectric thin films
WO2013015947A2 (en) Heteroleptic (allyl)(pyrroles-2-aldiminate) metal-containing precursors, their synthesis and vapor deposition thereof to deposit metal-containing films
EP3510038A1 (en) Metal complexes containing allyl ligands
WO2018086730A9 (en) Metal complexes containing cyclopentadienyl ligands

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180628

Year of fee payment: 4