TWI637975B - 聚合物、有機層組成物以及形成圖案的方法 - Google Patents

聚合物、有機層組成物以及形成圖案的方法 Download PDF

Info

Publication number
TWI637975B
TWI637975B TW106138248A TW106138248A TWI637975B TW I637975 B TWI637975 B TW I637975B TW 106138248 A TW106138248 A TW 106138248A TW 106138248 A TW106138248 A TW 106138248A TW I637975 B TWI637975 B TW I637975B
Authority
TW
Taiwan
Prior art keywords
substituted
unsubstituted
chemical formula
polymer
group
Prior art date
Application number
TW106138248A
Other languages
English (en)
Other versions
TW201817764A (zh
Inventor
鄭鉉日
金瑆煥
金昇炫
朴裕信
林栽範
Original Assignee
南韓商三星Sdi股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商三星Sdi股份有限公司 filed Critical 南韓商三星Sdi股份有限公司
Publication of TW201817764A publication Critical patent/TW201817764A/zh
Application granted granted Critical
Publication of TWI637975B publication Critical patent/TWI637975B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D161/00Coating compositions based on condensation polymers of aldehydes or ketones; Coating compositions based on derivatives of such polymers
    • C09D161/34Condensation polymers of aldehydes or ketones with monomers covered by at least two of the groups C09D161/04, C09D161/18 and C09D161/20
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G14/00Condensation polymers of aldehydes or ketones with two or more other monomers covered by at least two of the groups C08G8/00 - C08G12/00
    • C08G14/02Condensation polymers of aldehydes or ketones with two or more other monomers covered by at least two of the groups C08G8/00 - C08G12/00 of aldehydes
    • C08G14/04Condensation polymers of aldehydes or ketones with two or more other monomers covered by at least two of the groups C08G8/00 - C08G12/00 of aldehydes with phenols
    • C08G14/06Condensation polymers of aldehydes or ketones with two or more other monomers covered by at least two of the groups C08G8/00 - C08G12/00 of aldehydes with phenols and monomers containing hydrogen attached to nitrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G61/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G61/02Macromolecular compounds containing only carbon atoms in the main chain of the macromolecule, e.g. polyxylylenes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G61/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G61/02Macromolecular compounds containing only carbon atoms in the main chain of the macromolecule, e.g. polyxylylenes
    • C08G61/10Macromolecular compounds containing only carbon atoms in the main chain of the macromolecule, e.g. polyxylylenes only aromatic carbon atoms, e.g. polyphenylenes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G61/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G61/12Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/10Definition of the polymer structure
    • C08G2261/11Homopolymers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/10Definition of the polymer structure
    • C08G2261/12Copolymers
    • C08G2261/124Copolymers alternating
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/10Definition of the polymer structure
    • C08G2261/14Side-groups
    • C08G2261/141Side-chains having aliphatic units
    • C08G2261/1414Unsaturated aliphatic units
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/10Definition of the polymer structure
    • C08G2261/14Side-groups
    • C08G2261/142Side-chains containing oxygen
    • C08G2261/1422Side-chains containing oxygen containing OH groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/10Definition of the polymer structure
    • C08G2261/14Side-groups
    • C08G2261/148Side-chains having aromatic units
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/10Definition of the polymer structure
    • C08G2261/22Molecular weight
    • C08G2261/228Polymers, i.e. more than 10 repeat units
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/30Monomer units or repeat units incorporating structural elements in the main chain
    • C08G2261/31Monomer units or repeat units incorporating structural elements in the main chain incorporating aromatic structural elements in the main chain
    • C08G2261/314Condensed aromatic systems, e.g. perylene, anthracene or pyrene
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/30Monomer units or repeat units incorporating structural elements in the main chain
    • C08G2261/32Monomer units or repeat units incorporating structural elements in the main chain incorporating heteroaromatic structural elements in the main chain
    • C08G2261/324Monomer units or repeat units incorporating structural elements in the main chain incorporating heteroaromatic structural elements in the main chain condensed
    • C08G2261/3241Monomer units or repeat units incorporating structural elements in the main chain incorporating heteroaromatic structural elements in the main chain condensed containing one or more nitrogen atoms as the only heteroatom, e.g. carbazole
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/30Monomer units or repeat units incorporating structural elements in the main chain
    • C08G2261/33Monomer units or repeat units incorporating structural elements in the main chain incorporating non-aromatic structural elements in the main chain
    • C08G2261/332Monomer units or repeat units incorporating structural elements in the main chain incorporating non-aromatic structural elements in the main chain containing only carbon atoms
    • C08G2261/3326Monomer units or repeat units incorporating structural elements in the main chain incorporating non-aromatic structural elements in the main chain containing only carbon atoms alkane-based
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G61/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G61/12Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule
    • C08G61/122Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule derived from five- or six-membered heterocyclic compounds, other than imides
    • C08G61/123Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule derived from five- or six-membered heterocyclic compounds, other than imides derived from five-membered heterocyclic compounds
    • C08G61/124Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule derived from five- or six-membered heterocyclic compounds, other than imides derived from five-membered heterocyclic compounds with a five-membered ring containing one nitrogen atom in the ring
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D165/00Coating compositions based on macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain; Coating compositions based on derivatives of such polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Wood Science & Technology (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials For Photolithography (AREA)
  • Polyoxymethylene Polymers And Polymers With Carbon-To-Carbon Bonds (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本發明揭露一種聚合物,包含由化學式1表示之結構單 元及由化學式2表示之結構單元;一種包含聚合物之有機層組成物;以及一種使用有機層組成物形成圖案之方法。化學式1及化學式2與本說明書中所定義相同。

Description

聚合物、有機層組成物以及形成圖案的方法
本發明揭露一種聚合物、一種包含所述聚合物之有機層組成物以及一種使用所述有機層組成物形成圖案的方法。
近年來,半導體行業已研發了具有數奈米至數十奈米大小之圖案的超精細技術。此類超精細技術基本上需要有效微影技術。典型微影技術包含在半導體基板上提供材料層;在材料層上塗佈光阻層;使光阻層暴露且顯影以提供光阻圖案;以及使用光阻圖案作為遮罩而蝕刻材料層。如今,根據待形成之圖案之小尺寸,難以僅藉由上文所提及之典型微影技術來提供具有優良輪廓之精細圖案。因此,可在材料層與光阻層之間形成稱為硬質遮罩層之層以提供精細圖案。硬質遮罩層發揮中間層之作用以經由選擇性蝕刻製程而將光阻層之精細圖案轉移至材料層。因此,硬質遮罩層需要具有諸如耐熱性及抗蝕刻性之特徵,以在多個蝕刻製程期間耐受。另一方面,近年來已表明旋塗式塗佈法可替代化學氣相沈積(chemical vapor deposition;CVD)法形成硬質遮罩層。旋塗式塗佈法不僅可容易執行而且可改良間隙填充特徵及平坦化特徵。在 本文中,需要填充圖案而無空隙之間隙填充特徵,因為精細圖案可藉由必須形成多個圖案實現。此外,當基板具有梯級時,或當接近圖案之區域及無圖案區域一起存在於晶圓上時,硬質遮罩層之表面需要藉由底層平坦化。需要一種有機層材料,其滿足硬質遮罩層所要之特徵。
實施例提供一種具有改良抗熱性及抗蝕刻性同時確保可溶性之新穎聚合物。
另一實施例提供一種包含所述聚合物之有機層組成物。
又另一實施例提供一種使用所述有機層組成物形成圖案之方法。
根據一實施例,聚合物包含由化學式1表示之結構單元及由化學式2表示之結構單元。
在化學式1及化學式2中,A1為由化學式X表示之部分,A2為經取代或未經取代之C6至C30芳環且具有不同於A1之結構, B1及B2獨立地為經取代或未經取代之C6至C30芳環,以及*為鍵聯點,
其中,在化學式X中,Ar為經取代或未經取代之四邊形環、經取代或未經取代之五邊形環、經取代或未經取代之六邊形環或其稠環,Ra為氫、羥基、鹵素、經取代或未經取代之C1至C30烷氧基、經取代或未經取代之C1至C30烷基、經取代或未經取代之C2至C30烯基、經取代或未經取代之C2至C30炔基、經取代或未經取代之C6至C30芳基、經取代或未經取代之C1至C30雜烷基、經取代或未經取代之C2至C30雜芳基或其組合,Za獨立地為羥基、鹵素、經取代或未經取代之C1至C30烷氧基、經取代或未經取代之C1至C30烷基、經取代或未經取代之C2至C30烯基、經取代或未經取代之C2至C30炔基、經取代或未經取代之C6至C30芳基、經取代或未經取代之C1至C30雜烷基、經取代或未經取代之C2至C30雜芳基或其組合,以及m為0或1。
在化學式1及化學式2中,B1及B2可獨立地為族群1之經取代或未經取代之部分中的一者。
[族群1]
在化學式1中,A1可為族群2的部分中之一者。
[族群2]
在族群2中,R1及R2獨立地為氫、羥基、鹵素、經取代或未經取代之C1至C30烷氧基、經取代或未經取代之C1至C30烷基、經取代或未經取代之C2至C30烯基、經取代或未經取代之C2至C30炔基、經取代或未經取代之C6至C30芳基、經取代或未經取代之C1至C30雜烷基、 經取代或未經取代之C2至C30雜芳基或其組合,其限制條件為,在族群2中:各部分之氫可獨立地經以下基團置換或未經置換:羥基、鹵素、經取代或未經取代之C1至C30烷氧基、經取代或未經取代之C1至C30烷基、經取代或未經取代之C2至C30烯基、經取代或未經取代之C2至C30炔基、經取代或未經取代之C6至C30芳基、經取代或未經取代之C1至C30雜烷基、經取代或未經取代之C2至C30雜芳基或其組合。
在化學式2中,A2可為族群1之經取代或未經取代之部分中的一者。
在化學式2中,A2可經至少一個羥基取代。
在化學式1及化學式2中,B1及B2可為經取代或未經取代之C6至C30芳環且可具有相同結構。
聚合物之重量平均分子量可為1,000至200,000。
根據另一實施例,有機層組成物包含聚合物及溶劑。
以有機層組成物之總量計,聚合物可以佔約0.1重量%至30重量%之量。
根據另一實施例,形成圖案之方法包含在基板上提供材料層,將包含聚合物及溶劑之有機層組成物塗覆於材料層上,對有機層組成物進行熱處理以形成硬質遮罩層,在硬質遮罩層上形成含矽薄層,在含矽薄層上形成光阻層,使光阻層暴露且顯影以形成光阻圖案,使用光阻圖案而選擇性地移除含矽薄層及硬質遮罩層以暴露材料層之一部分以及蝕刻材料層之暴露部分。
可使用旋塗式塗佈法塗覆有機層組成物。
所述方法可更包含在形成光阻層之前形成底部抗反射塗 層(bottom antireflective coating;BARC)。
根據實施例之聚合物展現改良之抗熱性及抗蝕刻性。當聚合物用作有機層材料時,可提供具有改良之膜密度及抗蝕刻性且滿足平坦性的有機層。
S1、S2、S3、S4、S5、S6、S7、S8‧‧‧步驟
圖1為解釋根據實施例之形成圖案之方法的流程圖。
下文將詳細地描述本揭露內容之例示性實施例,且所述例示性實施例可容易地由所屬領域的技術人員執行。然而,本揭露內容可以多種不同形式實施,且不應解釋為限於本文所闡述之例示性實施例。
在本說明書中,當未另外提供定義時,『經取代之』可指藉由由以下中選出之取代基置換化合物之氫原子:鹵素原子(F、Br、Cl或I)、羥基、烷氧基、硝基、氰基、胺基、疊氮基、甲脒基、肼基、亞肼基、羰基、胺甲醯基、硫醇基、酯基、羧基或其鹽、磺酸基或其鹽、磷酸或其鹽、C1至C30烷基、C2至C30烯基、C2至C30炔基、C6至C30芳基、C7至C30芳基烷基、C1至C30烷氧基、C1至C20雜烷基、C3至C20雜芳基烷基、C3至C30環烷基、C3至C15環烯基、C6至C15環炔基、C3至C30雜環烷基以及其組合。
在本說明書中,當未另外提供定義時,術語『雜』是指 包含1至3個選自N、O、S以及P之雜原子的一者。
在本說明書中,當未另外提供定義時,『*』是指化合物或化合物部分之鍵聯點。
在下文中,描述根據一實施例之聚合物。
根據實施例之聚合物包含由化學式1表示之結構單元及由化學式2表示之結構單元。
在化學式1及化學式2中,A1為由化學式X表示之部分,A2為經取代或未經取代之C6至C30芳環且具有不同於A1之結構,B1及B2獨立地為經取代或未經取代之C6至C30芳環,以及*為鍵聯點,
其中,在化學式X中,Ar為經取代或未經取代之四邊形環、經取代或未經取代之五 邊形環、經取代或未經取代之六邊形環或其稠環,Ra為氫、羥基、鹵素、經取代或未經取代之C1至C30烷氧基、經取代或未經取代之C1至C30烷基、經取代或未經取代之C2至C30烯基、經取代或未經取代之C2至C30炔基、經取代或未經取代之C6至C30芳基、經取代或未經取代之C1至C30雜烷基、經取代或未經取代之C2至C30雜芳基或其組合,Za獨立地為羥基、鹵素、經取代或未經取代之C1至C30烷氧基、經取代或未經取代之C1至C30烷基、經取代或未經取代之C2至C30烯基、經取代或未經取代之C2至C30炔基、經取代或未經取代之C6至C30芳基、經取代或未經取代之C1至C30雜烷基、經取代或未經取代之C2至C30雜芳基或其組合,以及m為0或1。
聚合物包含由化學式1表示之結構單元及由化學式2表示之結構單元,其中此等結構單元之數目及配置不受限制。
由化學式1及化學式2表示之結構單元由A1及A2表示之第一部分與氫原子(H)、碳、B1以及B2表示之第二部分組成。
在化學式1及化學式2中,A1與A2具有不同結構。
首先,在化學式1中,A1為吲哚化合物或其衍生物且由化學式X表示。
在化學式X中,Ar為經取代或未經取代之四邊形環、經取代或未經取代之五邊形環、經取代或未經取代之六邊形環或其稠環,且稠環可為例如2個、3個或4個苯環之稠合型式,但不限於此。
在化學式1中,A1可為例如族群2之部分中之一者,但不 限於此。
在族群2中,R1及R2獨立地為氫、羥基、鹵素、經取代或未經取代之C1至C30烷氧基、經取代或未經取代之C1至C30烷基、經取代或未經取 代之C2至C30烯基、經取代或未經取代之C2至C30炔基、經取代或未經取代之C6至C30芳基、經取代或未經取代之C1至C30雜烷基、經取代或未經取代之C2至C30雜芳基或其組合,其限制條件為,在族群2中:各部分之氫可獨立地經以下基團置換或未經置換:羥基、鹵素、經取代或未經取代之C1至C30烷氧基、經取代或未經取代之C1至C30烷基、經取代或未經取代之C2至C30烯基、經取代或未經取代之C2至C30炔基、經取代或未經取代之C6至C30芳基、經取代或未經取代之C1至C30雜烷基、經取代或未經取代之C2至C30雜芳基或其組合。
在族群2中,每一部分與化學式1鍵聯處之位置不受特定限制。可藉由選擇環基之經取代的官能基的類別及數目而容易地控制聚合物之特性。
另一方面,在化學式2中,A2為經取代或未經取代之C6至C30芳環且具有不同於A1(即吲哚或其衍生物)之結構。
在化學式2中,A2可為族群1之經取代或未經取代之部分中的一者,但不限於此。
[族群1]
在族群1中,每一部分與化學式2鍵聯處之位置不受特定限制。舉例而言,當族群1中之部分經取代時,部分中之至少一個氫可由以下基團置換:羥基、經取代或未經取代之C1至C30烷基、經取代或未經取代之C2至C30烯基、經取代或未經取代之C2至C30炔基、經取代或未經取代之C1至C30烷氧基或其組合,但不限於此。舉例而言,A2可經至少一個羥基取代。
聚合物具有由化學式1中之A1及由化學式2中之A2表示的環基部分,且因此可保證抗蝕刻性。聚合物包含由化學式1表示之結構單元中之吲哚部分(A1)且因此具有包含氮原子(N)之五邊形環部分之一側由環密閉(稠合),但五邊形環部分之另一側未由環稠合而為敞開(亦即,五邊形的環之一側與Ar稠合,但五邊形 環部分之另一側不在化學式X中)之結構,相較於具有包含氮原子之五邊形環部分均密閉之結構之咔唑類聚合物,所述結構在焙烤期間可相對地促進聚合物內部或聚合物間之鍵結,且因此增大碳含量以及因此極大改良抗蝕刻性。
如上文所描述,由化學式1及化學式2表示之結構單元包含由氫原子(H)、碳、B1以及B2表示之第二部分。
舉例而言,在化學式1及化學式2中,B1及B2為族群1之經取代或未經取代之部分中的每一者,但不限於此。
舉例而言,在化學式1及化學式2中,B1及B2可具有相同結構。
聚合物包含由A1、A2、B1以及B2表示之碳環基,且因此可確保抗蝕刻性。
另一方面,包含於第二部分中之碳對應於『三級碳』。
本文中,三級碳指示與碳原子鍵結之四個氫中之三個氫由除了氫以外的其他基團置換的碳。
聚合物包含由化學式1及化學式2表示之所有結構單元中之三級碳,且因此可具有最大化環參數並且提昇抗蝕刻性。此外,當包含此類型之碳之聚合物用於製備有機層組成物時,可改良硬質遮罩層之溶解性且因此可對所述有機層組成物應用旋塗式塗佈法。
例如可經由三元聚合(terpolymerization)獲得所述聚合物。在聚合物中,三級碳結構可例如藉由在聚合物合成期間引入醛化合物或其衍生物作為親電子劑(electrophile)而形成。聚合物具有經由醛化合物或其衍生物之三元聚合而在單體(且所述單體具有較高碳含量)之鍵結位置處的碳含量增加而氫數目減少的三級碳結構且因此加固抗蝕刻性。
舉例而言,聚合物之重量平均分子量可為約500至200,000。更具體而言,聚合物之重量平均分子量可為約1,000至20,000。當聚合物之重量平均分子量在所述範圍內時,可藉由調整碳之量及溶劑中之溶解性而最佳化包含所述聚合物之有機層組成物(例如,硬質遮罩組成物)。
當所述聚合物用作有機層材料時,在焙烤過程期間可能不僅獲得均一薄層而不形成針孔或空隙及劣化厚度分佈,且當下部基板(或層)具有梯級或經圖案化時,可獲得極佳間隙填充及平 坦化特徵。
根據另一實施例,提供一種包含聚合物及溶劑之有機層組成物。
溶劑可為具有足夠溶解性或分散性之任何溶劑或聚合物且可包含例如由以下中選出之至少一者:丙二醇、丙二醇二乙酸酯、甲氧基丙二醇、二乙二醇、二乙二醇丁基醚、三(乙二醇)單甲基醚、丙二醇單甲基醚、丙二醇單甲基醚乙酸酯、環己酮、乳酸乙酯、γ-丁內酯、N,N-二甲基甲醯胺、N,N-二甲基乙醯胺、甲基吡咯啶酮、乙醯基丙酮以及3-乙氧基丙酸乙酯。
按有機層組成物之總量計,聚合物可以佔約0.1重量%至50重量%、約0.1重量%至30重量%或約0.1重量%至15重量%的量。當聚合物包含於所述範圍內時,可控制有機層之厚度、表面粗糙度以及平坦化。
有機層組成物可更包含如下添加劑:界面活性劑、交聯劑、熱酸產生劑或塑化劑。
界面活性劑可包含,例如,氟烷基類化合物,烷基苯磺酸鹽、烷基吡錠鹽、聚乙二醇或四級銨鹽,但不限於此。
交聯劑可以是例如三聚氰胺類交聯劑、經取代之脲類交聯劑或聚合物類交聯劑。較佳地,交聯劑可為具有至少兩個交聯形成取代基之交聯劑,例如諸如以下之化合物:甲氧基甲基化甘脲、丁氧基甲基化甘脲、甲氧基甲基化三聚氰胺、丁氧基甲基化三聚氰胺、甲氧基甲基化苯并胍胺、丁氧基甲基化苯并胍胺、甲氧基甲基化脲、丁氧基甲基化脲、甲氧基甲基化硫脲或丁氧基甲基化硫脲以及其類似物。
交聯劑可為具有高耐熱性之交聯劑。具有高耐熱性之交聯劑可以是在分子內之包含交聯取代基的化合物,所述交聯取代基包含芳環(例如,苯環或萘環)。
熱酸產生劑可為例如酸性化合物,諸如對甲苯磺酸、三氟甲烷磺酸、吡錠對甲苯磺酸、水楊酸、磺基水楊酸、檸檬酸、苯甲酸、羥基苯甲酸、萘碳酸以及其類似物或/及2,4,4,6-四溴環己二烯酮、安息香甲苯磺酸酯、2-硝基苯甲基甲苯磺酸酯、其他有機磺酸烷基酯以及其類似物,但不限於此。
按100重量份有機層組成物計,添加劑可以約0.001重量份至40重量份之量存在。在所述範圍內,可改良溶解性,而不改變有機層組成物之光學特性。
根據另一實施例,提供一種使用有機層組成物所製造之有機層。有機層可例如藉由在基板上塗佈有機層組成物且對所述有機層組成物進行熱處理以固化而形成,且可包含例如硬質遮罩層、平坦化層、犧牲層、填充劑以及用於電子裝置之其類似物。
下文中,參考圖1描述一種使用有機層組成物形成圖案之方法。
圖1為展示根據實施例之形成圖案之方法的流程圖。
根據實施例之形成圖案的方法包含,在基板上提供材料層(S1),將包含聚合物及溶劑之有機層組成物塗覆於材料層上(S2),對有機層組成物進行熱處理以形成硬質遮罩層(S3),在硬質遮罩層上形成含矽薄層(S4),在含矽薄層上形成光阻層(S5),使光阻層暴露且顯影以形成光阻圖案(S6),使用光阻圖案而選擇性地移除含矽薄層及硬質遮罩層以暴露材料層之一部分(S7),以 及蝕刻材料層的暴露部分(S8)。
基板可為例如矽晶圓、玻璃基板或聚合物基板。
材料層為待最終圖案化之材料,例如金屬層(諸如鋁層及銅層)、半導體層(諸如矽層)或絕緣層(諸如氧化矽層及氮化矽層)。材料層可經由諸如化學氣相沈積(chemical vapor deposition;CVD)製程之方法形成。
有機層組成物與上述相同,且可藉由旋塗式塗佈法以溶液形式塗覆。在本文中,有機層組成物之厚度不受特別限制,但可為例如約50埃(Å)至10,000埃。
有機層組成物之熱處理可例如在約100℃至700℃下進行約10秒至1小時。
含矽薄層可由例如SiCN、SiOC、SiON、SiOCN、SiC、SiO及/或SiN以及/或其類似物之材料形成。
方法可更包含在形成光阻層之前在含矽薄層上形成底部抗反射塗層(bottom antireflective coating;BARC)。
光阻層之暴露可使用例如氟化氬(argon fluoride;ArF)、氟化氪(krypton fluoride;KrF)或極遠紫外(extreme ultraviolet;EUV)執行。暴露之後,可在約100℃至700℃下執行熱處理。
材料層之暴露部分之蝕刻製程可經由使用蝕刻氣體之乾式蝕刻製程執行,且所述蝕刻氣體可為例如(但不限於)CHF3、CF4、Cl2、BCl3以及其混合氣體。
經蝕刻之材料層可以多個圖案而形成,並且所述多個圖案可為金屬圖案、半導體圖案、絕緣圖案化以及其類似者,例如半導體積體電路裝置之不同圖案。
在下文中,參考實例更詳細說明本揭露內容。然而,此等實例為例示性的且本揭露內容不限於此。
合成實例
合成實例1
將61.5g(0.43mol)之1-萘酚、50.0g(0.43mol)之吲哚、133g(0.85mol)之1-萘甲醛以及41.0g(0.43mol)之甲磺酸添加至配備有機械攪拌器及冷凝器之500ml的2頸燒瓶中之285.9g之1,4-二噁烷,且將混合物加熱高達100℃並且攪拌24小時。當反應完成時,燒瓶之內部溫度下降至60℃至70℃,向燒瓶中添加300g之四氫呋喃以防止化合物硬化並且使用7%酸性碳酸鈉水溶液將化合物之pH值調節為5至6。隨後,將1000ml之乙酸乙酯倒入燒瓶中,且連續地攪拌所得混合物,且接著利用分液漏斗過濾以僅獲取有機層。接著,將500ml之水經由分液漏斗分三次重複地倒入,且接著搖動燒瓶以移除燒瓶中剩餘之酸及鈉鹽以最終獲取有機層。隨後,用蒸發器濃縮所得有機溶液以獲得化合物,且向化合物中添加1L之四氫呋喃以獲得溶液。
以逐滴方式將溶液緩慢添加至燒杯,在燒杯中5L之己烷經攪拌以形成沈澱並且獲得包含化學式1a中所展示之結構單元的聚合物。
在藉由使用凝膠滲透層析法(gel permeation chromatography;GPC)來量測聚合物之重量平均分子量(molecular weight;Mw)及多分散性(polydispersity;PD)時,重量平均分子量(molecular weight;Mw)為1,890並且多分散性(polydispersity;PD)為1.35。
合成實例2
除了使用1H-苯并吲哚代替吲哚以外,根據與合成實例1相同之方法獲得包含化學式2a中所展示之結構單元的聚合物。
聚合物之重量平均分子量(molecular weight;Mw)為3,100且聚合物之多分散性(polydispersity;PD)為1.43。
合成實例3
除了使用1H,1H’-3,3’-二吲哚代替吲哚以外,根據與合成實例1相同之方法獲得包含化學式3a中所展示之結構單元的聚合物。
聚合物之重量平均分子量(molecular weight;Mw)為2,140且聚合物之多分散性(polydispersity;PD)為1.32。
[化學式3a]
合成實例4
除了使用1H-二苯并[e,g]吲哚代替吲哚以外,根據與合成實例1相同之方法獲得包含化學式4a中所展示之結構單元的聚合物。
聚合物之重量平均分子量(molecular weight;Mw)為2,470且聚合物之多分散性(polydispersity;PD)為1.29。
合成實例5
除了使用菲-9-醇代替1-萘酚以外,根據與合成實例1相同之方法獲得包含化學式5a中所展示之結構單元的聚合物。
聚合物之重量平均分子量(molecular weight;Mw)為1,760且聚合物之多分散性(polydispersity;PD)為1.43。
合成實例6
除了使用9-菲甲醛(9-phenanthrene carbaldehyde)代替1-萘甲醛以外,根據與合成實例5相同之方法獲得包含化學式6a中所展示之結構單元的聚合物。
聚合物之重量平均分子量(molecular weight;Mw)為2,410且聚合物之多分散性(polydispersity;PD)為1.55。
合成實例7
除了使用1-羥基芘代替菲-9-醇及使用芘-1-甲醛代替9-菲甲醛以外,根據與合成實例6相同之方法獲得包含化學式7a中所展示之結構單元的聚合物。
聚合物之重量平均分子量(molecular weight;Mw)為1,570且聚合物之多分散性(polydispersity;PD)為1.26。
合成實例8
除了使用1H-苯并吲哚代替吲哚以外,根據與合成實例7 相同之方法獲得包含化學式8a中所展示之結構單元的聚合物。
聚合物之重量平均分子量(molecular weight;Mw)為1,940且聚合物之多分散性(polydispersity;PD)為1.35。
合成實例9
除了使用1-苯基-1H-吲哚代替吲哚以外,根據與合成實例7相同之方法獲得包含化學式9a中所展示之結構單元的聚合物。
聚合物之重量平均分子量(molecular weight;Mw)為1,550且聚合物之多分散性(polydispersity;PD)為1.31。
合成實例10
將27.0g之根據合成實例1之聚合物及200g之二甲基甲醯胺置於配備有機械攪拌器之500ml的2頸燒瓶中且接著攪拌。當聚合物完全地溶解時,將燒瓶置於充滿冰的冷卻水槽中並且攪拌。隨後,以逐滴方式向聚合物中緩慢添加6g之氫化鈉,並且攪拌混 合物。攪拌30分鐘後,向混合物中緩慢添加17.8g之溴丙炔,且攪拌所得混合物8小時。當反應完成時,將50ml之乙醇緩慢置於燒瓶中,且10分鐘之後將所獲得反應溶液緩慢添加至2L燒杯中之經攪拌的1.5L之水中。當完成添加,攪拌所得混合物2小時。將沈澱於燒杯中的固體過濾,自固體移除水分後,用500ml之水與200ml之乙醇之混合物重複地洗滌三次且脫水以獲得包含由化學式10a表示之結構單元的聚合物。
聚合物之重量平均分子量(molecular weight;Mw)為1,920且聚合物之多分散性(polydispersity;PD)為1.34。
比較合成實例1
依序將20g(0.103mol)之1-羥基蒽及3.08g(0.103mol)之三聚甲醛置於500ml的燒瓶中且溶解於42g之丙二醇單甲醚乙酸酯(propylene glycol monomethyl ether acetate;PGMEA)中,向燒瓶中添加0.4g(0.002mol)之對甲苯磺酸,以及在90℃至120℃下將混合物攪拌5小時至10小時。當每一小時獲自聚合反應物之樣本之重量平均分子量為3,000至4,200時,反應完成以獲得包含由化學式A表示之結構單元的聚合物。
聚合物之重量平均分子量(molecular weight;Mw)為3,200且聚合物之多分散性(polydispersity;PD)為1.85。
比較合成實例2
依序將33g(0.23mol)之吲哚及35.9g(0.23mol)之1-萘甲醛置於500ml的燒瓶中,且接著溶解於200g之丙二醇單甲醚乙酸酯(propylene glycol monomethyl ether acetate;PGMEA),向燒瓶中添加1g(0.005mol)之對甲苯磺酸,以及在90℃至120℃下將混合物攪拌約8小時。當每一小時獲自聚合反應物之樣本的重量平均分子量為3,000至4,000時,反應完成以獲得包含由化學式B表示之結構單元的聚合物。
聚合物之重量平均分子量(molecular weight;Mw)為1,520且聚合物之多分散性(polydispersity;PD)為1.72。
比較合成實例3
除了使用咔唑代替吲哚以外,根據與合成實例1相同之方法獲得包含化學式C中所展示之結構單元的聚合物。
聚合物之重量平均分子量(molecular weight;Mw)為2,680且聚合物之多分散性(polydispersity;PD)為1.56。
比較合成實例4
除了使用1,3-二氫吲哚[2,3-b]咔唑代替吲哚以外,根據與合成實例4相同之方法獲得包含化學式D中所展示之結構單元的聚合物。
聚合物之重量平均分子量(molecular weight;Mw)為4,620且聚合物之多分散性(polydispersity;PD)為1.73。
硬質遮罩組成物之製備
實例1
將根據合成實例1之聚合物溶解於丙二醇單甲醚乙酸酯(propylene glycol monomethyl ether acetate;PGMEA)與環己酮之混合溶劑(7:3(v/v))中,且經由0.1微米(μm)鐵氟龍(TEFLON)(四氟乙烯)過濾器過濾所述溶液以製備硬質遮罩組成物。按硬質遮罩組成物之整體重量計,視厚度而定,以5.0重量%至20.0重量%之量使用聚合物。
實例2
除了使用合成實例2之化合物代替合成實例1之聚合物以外,根據與實例1相同之方法製備硬質遮罩組成物。
實例3
除了使用合成實例3之化合物代替合成實例1之聚合物以外,根據與實例1相同之方法製備硬質遮罩組成物。
實例4
除了使用合成實例4之化合物代替合成實例1之聚合物以外,根據與實例1相同之方法製備硬質遮罩組成物。
實例5
除了使用合成實例5之化合物代替合成實例1之聚合物以外,根據與實例1相同之方法製備硬質遮罩組成物。
實例6
除了使用合成實例6之化合物代替合成實例1之聚合物以外,根據與實例1相同之方法製備硬質遮罩組成物。
實例7
除了使用合成實例7之化合物代替合成實例1之聚合物以外,根據與實例1相同之方法製備硬質遮罩組成物。
實例8
除了使用合成實例8之化合物代替合成實例1之聚合物以外,根據與實例1相同之方法製備硬質遮罩組成物。
實例9
除了使用合成實例9之化合物代替合成實例1之聚合物以外,根據與實例1相同之方法製備硬質遮罩組成物。
實例10
除了使用合成實例10之化合物代替合成實例1之聚合物以外,根據與實例1相同之方法製備硬質遮罩組成物。
比較例1
除了使用比較合成實例1之化合物代替合成實例1之聚合物以外,根據與實例1相同之方法製備硬質遮罩組成物。
比較例2
除了使用比較合成實例2之化合物代替合成實例1之聚合物以外,根據與實例1相同之方法製備硬質遮罩組成物。
比較例3
除了使用比較合成實例3之化合物代替合成實例1之聚合物以外,根據與實例1相同之方法製備硬質遮罩組成物。
比較例4
除了使用比較合成實例4之化合物代替合成實例1之聚合物以外,根據與實例1相同之方法製備硬質遮罩組成物。
評價
評價1:抗蝕刻性
將根據實例1至實例10以及比較例1至比較例4之每一硬質遮罩組成物分別以4,000埃之厚度旋塗佈在矽晶圓上且於加熱板上在240℃下熱處理1分鐘,以形成每一薄膜。
隨後,量測每一薄膜之厚度。接著,藉由分別使用CHF3/CF4混合氣體與N2/O2混合氣體乾式蝕刻薄膜分別100秒及60秒,且再次量測薄膜厚度。使用乾式蝕刻之前及之後的薄膜的厚度及薄膜的蝕刻時間根據計算方程式1計算主體蝕刻速率(bulk etch rate;BER)。
[計算方程式1](初始薄膜厚度-蝕刻後之薄膜厚度)/蝕刻時間(埃/秒)
結果展示於表1中。
藉由分別地將熱處理溫度改變為400℃且時間改變為2分鐘來計算蝕刻速率。結果展示於表2中。
參考表1及表2,分別由根據實例1至實例10之硬質遮罩組成物形成之每一薄膜展示出相較於由根據比較例1至比較例4之硬質遮罩組成物形成的膜之改良主體蝕刻特徵,此是由於對蝕刻氣體之足夠抗蝕刻性。
評價2:膜密度
將根據實例1至實例10以及比較例1至比較例4之每一硬質遮罩組成物分別旋塗塗佈於矽晶圓上且於加熱板上在240℃下熱處理1分鐘以形成厚度為1,000埃之每一薄膜。
使用帕納科公司(PANalytical,Inc)之X射線繞射分析設備來量測每一薄膜之膜密度。
結果展示於表3中。
參考表3,由根據實例1至實例10之硬質遮罩組成物形成之每一薄膜展示出相較於由根據比較例1至比較例4之硬質遮罩組成物形成之膜的較高膜密度。
儘管本發明已結合目前視為實踐實例實施例來描述,但應瞭解,本發明不限於所揭露之實施例,但相反,本發明意欲涵蓋包含在所附申請專利範圍之精神及範疇內的各種修改及等效配置。

Claims (17)

  1. 一種聚合物,包括: 由化學式1表示之結構單元,及 由化學式2表示之結構單元: [化學式1]
    Figure TWI637975B_C0001
    [化學式2]
    Figure TWI637975B_C0002
    其中,在化學式1及化學式2中, A1為由化學式X表示之部分, A2為經取代或未經取代之C6至C30芳環且具有不同於A1之結構, B1及B2獨立地為經取代或未經取代之C6至C30芳環,以及 *為鍵聯點, [化學式X]
    Figure TWI637975B_C0003
    其中,在化學式X中, Ar為經取代或未經取代之四邊形環、經取代或未經取代之五邊形環、經取代或未經取代之六邊形環或其稠環, Ra為氫、羥基、鹵素、經取代或未經取代之C1至C30烷氧基、經取代或未經取代之C1至C30烷基、經取代或未經取代之C2至C30烯基、經取代或未經取代之C2至C30炔基、經取代或未經取代之C6至C30芳基、經取代或未經取代之C1至C30雜烷基、經取代或未經取代之C2至C30雜芳基或其組合, Za獨立地為羥基、鹵素、經取代或未經取代之C1至C30烷氧基、經取代或未經取代之C1至C30烷基、經取代或未經取代之C2至C30烯基、經取代或未經取代之C2至C30炔基、經取代或未經取代之C6至C30芳基、經取代或未經取代之C1至C30雜烷基、經取代或未經取代之C2至C30雜芳基或其組合,以及 m為0或1。
  2. 如申請專利範圍第1項所述之聚合物,其中在化學式1及化學式2中,B1及B2獨立地為族群1之經取代或未經取代之部分中的一者: [族群1]
    Figure TWI637975B_C0004
  3. 如申請專利範圍第1項所述之聚合物,其中在化學式1中,A1為族群2之部分中的一者: [族群2]
    Figure TWI637975B_C0005
    其中,在族群2中, R1及R2獨立地為氫、羥基、鹵素、經取代或未經取代之C1至C30烷氧基、經取代或未經取代之C1至C30烷基、經取代或未經取代之C2至C30烯基、經取代或未經取代之C2至C30炔基、經取代或未經取代之C6至C30芳基、經取代或未經取代之C1至C30雜烷基、經取代或未經取代之C2至C30雜芳基或其組合, 其限制條件為,在族群2中,每一部分之氫獨立地經以下基團置換或未經置換:羥基、鹵素、經取代或未經取代之C1至C30烷氧基、經取代或未經取代之C1至C30烷基、經取代或未經取代之C2至C30烯基、經取代或未經取代之C2至C30炔基、經取代或未經取代之C6至C30芳基、經取代或未經取代之C1至C30雜烷基、經取代或未經取代之C2至C30雜芳基或其組合。
  4. 如申請專利範圍第1項所述之聚合物,其中在化學式2中,A2為族群1之經取代或未經取代之部分中的一者: [族群1]
    Figure TWI637975B_C0004
  5. 如申請專利範圍第4項所述之聚合物,其中A2經至少一個羥基取代。
  6. 如申請專利範圍第1項所述之聚合物,其中在化學式1及化學式2中,B1及B2為經取代或未經取代之C6至C30芳環且具有相同結構。
  7. 如申請專利範圍第1項所述之聚合物,其中重量平均分子量為1,000至200,000。
  8. 一種有機層組成物,包括: 聚合物,包含由化學式1表示之結構單元及由化學式2表示之結構單元,及 溶劑: [化學式1]
    Figure TWI637975B_C0001
    [化學式2]
    Figure TWI637975B_C0002
    其中,在化學式1及化學式2中, A1為由化學式X表示之部分, A2為經取代或未經取代之C6至C30芳環且具有不同於A1之結構, B1及B2獨立地為經取代或未經取代之C6至C30芳環,以及 *為鍵聯點, [化學式X]
    Figure TWI637975B_C0003
    其中,在化學式X中, Ar為經取代或未經取代之四邊形環、經取代或未經取代之五邊形環、經取代或未經取代之六邊形環或其稠環, Ra為氫、羥基、鹵素、經取代或未經取代之C1至C30烷氧基、經取代或未經取代之C1至C30烷基、經取代或未經取代之C2至C30烯基、經取代或未經取代之C2至C30炔基、經取代或未經取代之C6至C30芳基、經取代或未經取代之C1至C30雜烷基、經取代或未經取代之C2至C30雜芳基或其組合, Za獨立地為羥基、鹵素、經取代或未經取代之C1至C30烷氧基、經取代或未經取代之C1至C30烷基、經取代或未經取代之C2至C30烯基、經取代或未經取代之C2至C30炔基、經取代或未經取代之C6至C30芳基、經取代或未經取代之C1至C30雜烷基、經取代或未經取代之C2至C30雜芳基或其組合,以及 m為0或1。
  9. 如申請專利範圍第8項所述之有機層組成物,其中在化學式1中,A1為族群2之部分中的一者: [族群2]
    Figure TWI637975B_C0005
    其中,在族群2中, R1及R2獨立地為氫、羥基、鹵素、經取代或未經取代之C1至C30烷氧基、經取代或未經取代之C1至C30烷基、經取代或未經取代之C2至C30烯基、經取代或未經取代之C2至C30炔基、經取代或未經取代之C6至C30芳基、經取代或未經取代之C1至C30雜烷基、經取代或未經取代之C2至C30雜芳基或其組合, 其限制條件為,在族群2中,每一部分之氫獨立地經以下基團置換或未經置換:羥基、鹵素、經取代或未經取代之C1至C30烷氧基、經取代或未經取代之C1至C30烷基、經取代或未經取代之C2至C30烯基、經取代或未經取代之C2至C30炔基、經取代或未經取代之C6至C30芳基、經取代或未經取代之C1至C30雜烷基、經取代或未經取代之C2至C30雜芳基或其組合。
  10. 如申請專利範圍第8項所述之有機層組成物,其中在化學式2中,A2為族群1之經取代或未經取代之部分中的一者: [族群1]
    Figure TWI637975B_C0004
  11. 如申請專利範圍第10項所述之有機層組成物,其中A2經至少一個羥基取代。
  12. 如申請專利範圍第8項所述之有機層組成物,其中在化學式1及化學式2中,B1及B2為經取代或未經取代之C6至C30芳環且具有相同結構。
  13. 如申請專利範圍第8項所述之有機層組成物,其中所述聚合物之重量平均分子量為1,000至200,000。
  14. 如申請專利範圍第8項所述之有機層組成物,其中按所述有機層組成物之總量計,所述聚合物佔0.1重量%至30重量%之量。
  15. 一種形成圖案之方法,包括: 在基板上提供材料層, 將如申請專利範圍第8項至第14項中任一項所述之有機層組成物塗覆於所述材料層上, 對所述有機層組成物進行熱處理以形成硬質遮罩層, 在所述硬質遮罩層上形成含矽薄層, 在所述含矽薄層上形成光阻層, 使所述光阻層暴露且顯影以形成光阻圖案, 使用所述光阻圖案選擇性移除所述含矽薄層及所述硬質遮罩層以暴露所述材料層之一部分,以及 蝕刻所述材料層之暴露部分。
  16. 如申請專利範圍第15項所述之形成圖案之方法,其中使用旋塗式塗佈法塗覆有機層組成物。
  17. 如申請專利範圍第15項所述之形成圖案之方法,其中所述方法更包含在形成所述光阻層之前,形成底部抗反射塗層(bottom antireflective coating;BARC)。
TW106138248A 2016-11-10 2017-11-06 聚合物、有機層組成物以及形成圖案的方法 TWI637975B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR20160149859 2016-11-10
??10-2016-0149859 2016-11-10
??10-2017-0055623 2017-04-28
KR1020170055623A KR102037818B1 (ko) 2016-11-10 2017-04-28 중합체, 유기막 조성물 및 패턴형성방법

Publications (2)

Publication Number Publication Date
TW201817764A TW201817764A (zh) 2018-05-16
TWI637975B true TWI637975B (zh) 2018-10-11

Family

ID=62453920

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106138248A TWI637975B (zh) 2016-11-10 2017-11-06 聚合物、有機層組成物以及形成圖案的方法

Country Status (6)

Country Link
US (1) US11203662B2 (zh)
EP (1) EP3521332A4 (zh)
JP (1) JP7025422B2 (zh)
KR (1) KR102037818B1 (zh)
CN (1) CN109983053A (zh)
TW (1) TWI637975B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102539872B1 (ko) * 2018-08-20 2023-06-05 동우 화인켐 주식회사 하드마스크용 조성물
KR102303554B1 (ko) 2018-12-26 2021-09-16 삼성에스디아이 주식회사 중합체, 하드마스크 조성물 및 패턴 형성 방법
KR20210034316A (ko) 2019-09-20 2021-03-30 동우 화인켐 주식회사 하드마스크용 조성물
KR102101275B1 (ko) * 2019-10-10 2020-05-15 로움하이텍 주식회사 신규 중합체 및 이를 포함하는 반도체 제조용 레지스트 하층막 조성물
KR102562337B1 (ko) * 2020-08-13 2023-07-31 삼성에스디아이 주식회사 하드마스크 조성물 및 패턴 형성 방법
WO2023243426A1 (ja) * 2022-06-17 2023-12-21 日産化学株式会社 レジスト下層膜形成組成物

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006293207A (ja) * 2005-04-14 2006-10-26 Shin Etsu Chem Co Ltd レジスト下層膜材料およびパターン形成方法
US20150044876A1 (en) * 2012-03-27 2015-02-12 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition containing phenylindole-containing novolac resin
KR20150022679A (ko) * 2013-08-23 2015-03-04 (주)디엔에프 신규한 중합체 및 이를 포함하는 조성물
WO2016021594A1 (ja) * 2014-08-08 2016-02-11 日産化学工業株式会社 芳香族メチロール化合物が反応したノボラック樹脂を含むレジスト下層膜形成組成物
TW201630965A (zh) * 2015-02-17 2016-09-01 三星Sdi 股份有限公司 聚合物、有機層組成物、有機層以及形成圖案的方法
TW201714912A (zh) * 2015-10-19 2017-05-01 三星Sdi股份有限公司 聚合物、有機層組成物以及形成圖案的方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010061774A1 (ja) 2008-11-27 2010-06-03 日産化学工業株式会社 アウトガス発生が低減されたレジスト下層膜形成組成物
KR101174086B1 (ko) 2008-12-31 2012-08-14 제일모직주식회사 고분자, 고분자 조성물, 이를 포함하는 레지스트 하층막 조성물 및 이를 이용하는 재료의 패턴화 방법
KR101311942B1 (ko) 2009-12-31 2013-09-26 제일모직주식회사 레지스트 하층막용 방향족 고리 함유 화합물 및 이를 포함하는 레지스트 하층막용 조성물
JP5867732B2 (ja) 2010-12-09 2016-02-24 日産化学工業株式会社 水酸基含有カルバゾールノボラック樹脂を含むレジスト下層膜形成組成物
KR101832321B1 (ko) * 2011-10-28 2018-02-26 주식회사 동진쎄미켐 자가 가교형 고분자, 이를 포함하는 레지스트 하층막 조성물 및 이를 이용한 패턴 형성 방법
JPWO2013080929A1 (ja) 2011-12-01 2015-04-27 Jsr株式会社 多層レジストプロセスに用いられるレジスト下層膜形成用組成物、レジスト下層膜及びその形成方法、並びにパターン形成方法
US9261790B2 (en) * 2012-02-01 2016-02-16 Nissan Chemical Industries, Ltd. Resist underlayer film-forming composition containing copolymer resin having heterocyclic ring
JP6004172B2 (ja) 2012-07-31 2016-10-05 日産化学工業株式会社 カルボニル基含有カルバゾールノボラックを含むリソグラフィー用レジスト下層膜形成組成物
US9244353B2 (en) 2012-08-10 2016-01-26 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition
KR101599961B1 (ko) 2012-12-26 2016-03-04 제일모직 주식회사 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR101711919B1 (ko) 2014-07-18 2017-03-03 삼성에스디아이 주식회사 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR101788091B1 (ko) 2014-09-30 2017-11-15 삼성에스디아이 주식회사 중합체, 유기막 조성물, 유기막, 및 패턴형성방법
KR101788090B1 (ko) 2014-11-28 2017-11-15 삼성에스디아이 주식회사 중합체, 유기막 조성물, 유기막, 및 패턴형성방법
WO2017115978A1 (ko) 2015-12-29 2017-07-06 삼성에스디아이 주식회사 유기막 조성물 및 패턴형성방법
KR102296794B1 (ko) 2016-07-28 2021-08-31 삼성에스디아이 주식회사 유기막 조성물 및 패턴형성방법

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006293207A (ja) * 2005-04-14 2006-10-26 Shin Etsu Chem Co Ltd レジスト下層膜材料およびパターン形成方法
US20150044876A1 (en) * 2012-03-27 2015-02-12 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition containing phenylindole-containing novolac resin
KR20150022679A (ko) * 2013-08-23 2015-03-04 (주)디엔에프 신규한 중합체 및 이를 포함하는 조성물
WO2016021594A1 (ja) * 2014-08-08 2016-02-11 日産化学工業株式会社 芳香族メチロール化合物が反応したノボラック樹脂を含むレジスト下層膜形成組成物
TW201630965A (zh) * 2015-02-17 2016-09-01 三星Sdi 股份有限公司 聚合物、有機層組成物、有機層以及形成圖案的方法
TW201714912A (zh) * 2015-10-19 2017-05-01 三星Sdi股份有限公司 聚合物、有機層組成物以及形成圖案的方法

Also Published As

Publication number Publication date
JP7025422B2 (ja) 2022-02-24
US11203662B2 (en) 2021-12-21
CN109983053A (zh) 2019-07-05
US20190233576A1 (en) 2019-08-01
EP3521332A1 (en) 2019-08-07
EP3521332A4 (en) 2020-06-17
KR20180052505A (ko) 2018-05-18
TW201817764A (zh) 2018-05-16
JP2020503395A (ja) 2020-01-30
KR102037818B1 (ko) 2019-10-29

Similar Documents

Publication Publication Date Title
TWI637975B (zh) 聚合物、有機層組成物以及形成圖案的方法
TWI609030B (zh) 聚合物、有機層組成物及形成圖案的方法
TWI619739B (zh) 聚合物、有機層組成物、有機層以及形成圖案的方法
TWI667547B (zh) 聚合物、有機層組成物及圖案形成方法
TWI602845B (zh) 聚合物、有機層組成物、有機層以及形成圖案的方法
TWI641913B (zh) 聚合物、有機層組成物與形成圖案之方法
TWI644999B (zh) 聚合物、有機層組成物與形成圖案之方法
WO2018088673A1 (ko) 중합체, 유기막 조성물 및 패턴형성방법
TW201804256A (zh) 有機層組成物及圖案形成方法
TWI598379B (zh) 聚合物、有機層組合物以及形成圖案的方法
TWI694092B (zh) 聚合物、有機層組成物及形成圖案的方法
KR20190038111A (ko) 중합체, 유기막 조성물, 및 패턴 형성 방법
TWI728407B (zh) 聚合物、有機層組合物以及形成圖案的方法
KR102036681B1 (ko) 화합물, 유기막 조성물, 및 패턴형성방법
KR102127256B1 (ko) 유기막 조성물, 중합체 및 패턴 형성 방법
KR20190052477A (ko) 모노머, 중합체, 유기막 조성물 및 패턴 형성 방법
WO2019088396A1 (ko) 중합체, 유기막 조성물 및 패턴 형성 방법
KR20190052478A (ko) 모노머, 중합체, 유기막 조성물 및 패턴 형성 방법
KR20230137101A (ko) 하드마스크 조성물, 및 패턴 형성 방법