CN109983053A - 聚合物、有机膜组成物以及形成图案的方法 - Google Patents

聚合物、有机膜组成物以及形成图案的方法 Download PDF

Info

Publication number
CN109983053A
CN109983053A CN201780069472.4A CN201780069472A CN109983053A CN 109983053 A CN109983053 A CN 109983053A CN 201780069472 A CN201780069472 A CN 201780069472A CN 109983053 A CN109983053 A CN 109983053A
Authority
CN
China
Prior art keywords
substituted
unsubstituted
chemical formula
polymer
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201780069472.4A
Other languages
English (en)
Inventor
郑铉日
金瑆焕
金昇炫
朴裕信
林栽范
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung SDI Co Ltd
Original Assignee
Samsung SDI Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung SDI Co Ltd filed Critical Samsung SDI Co Ltd
Priority claimed from PCT/KR2017/007906 external-priority patent/WO2018088673A1/ko
Publication of CN109983053A publication Critical patent/CN109983053A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D161/00Coating compositions based on condensation polymers of aldehydes or ketones; Coating compositions based on derivatives of such polymers
    • C09D161/34Condensation polymers of aldehydes or ketones with monomers covered by at least two of the groups C09D161/04, C09D161/18 and C09D161/20
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G14/00Condensation polymers of aldehydes or ketones with two or more other monomers covered by at least two of the groups C08G8/00 - C08G12/00
    • C08G14/02Condensation polymers of aldehydes or ketones with two or more other monomers covered by at least two of the groups C08G8/00 - C08G12/00 of aldehydes
    • C08G14/04Condensation polymers of aldehydes or ketones with two or more other monomers covered by at least two of the groups C08G8/00 - C08G12/00 of aldehydes with phenols
    • C08G14/06Condensation polymers of aldehydes or ketones with two or more other monomers covered by at least two of the groups C08G8/00 - C08G12/00 of aldehydes with phenols and monomers containing hydrogen attached to nitrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G61/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G61/02Macromolecular compounds containing only carbon atoms in the main chain of the macromolecule, e.g. polyxylylenes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G61/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G61/02Macromolecular compounds containing only carbon atoms in the main chain of the macromolecule, e.g. polyxylylenes
    • C08G61/10Macromolecular compounds containing only carbon atoms in the main chain of the macromolecule, e.g. polyxylylenes only aromatic carbon atoms, e.g. polyphenylenes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G61/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G61/12Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/10Definition of the polymer structure
    • C08G2261/11Homopolymers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/10Definition of the polymer structure
    • C08G2261/12Copolymers
    • C08G2261/124Copolymers alternating
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/10Definition of the polymer structure
    • C08G2261/14Side-groups
    • C08G2261/141Side-chains having aliphatic units
    • C08G2261/1414Unsaturated aliphatic units
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/10Definition of the polymer structure
    • C08G2261/14Side-groups
    • C08G2261/142Side-chains containing oxygen
    • C08G2261/1422Side-chains containing oxygen containing OH groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/10Definition of the polymer structure
    • C08G2261/14Side-groups
    • C08G2261/148Side-chains having aromatic units
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/10Definition of the polymer structure
    • C08G2261/22Molecular weight
    • C08G2261/228Polymers, i.e. more than 10 repeat units
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/30Monomer units or repeat units incorporating structural elements in the main chain
    • C08G2261/31Monomer units or repeat units incorporating structural elements in the main chain incorporating aromatic structural elements in the main chain
    • C08G2261/314Condensed aromatic systems, e.g. perylene, anthracene or pyrene
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/30Monomer units or repeat units incorporating structural elements in the main chain
    • C08G2261/32Monomer units or repeat units incorporating structural elements in the main chain incorporating heteroaromatic structural elements in the main chain
    • C08G2261/324Monomer units or repeat units incorporating structural elements in the main chain incorporating heteroaromatic structural elements in the main chain condensed
    • C08G2261/3241Monomer units or repeat units incorporating structural elements in the main chain incorporating heteroaromatic structural elements in the main chain condensed containing one or more nitrogen atoms as the only heteroatom, e.g. carbazole
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/30Monomer units or repeat units incorporating structural elements in the main chain
    • C08G2261/33Monomer units or repeat units incorporating structural elements in the main chain incorporating non-aromatic structural elements in the main chain
    • C08G2261/332Monomer units or repeat units incorporating structural elements in the main chain incorporating non-aromatic structural elements in the main chain containing only carbon atoms
    • C08G2261/3326Monomer units or repeat units incorporating structural elements in the main chain incorporating non-aromatic structural elements in the main chain containing only carbon atoms alkane-based
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G61/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G61/12Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule
    • C08G61/122Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule derived from five- or six-membered heterocyclic compounds, other than imides
    • C08G61/123Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule derived from five- or six-membered heterocyclic compounds, other than imides derived from five-membered heterocyclic compounds
    • C08G61/124Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule derived from five- or six-membered heterocyclic compounds, other than imides derived from five-membered heterocyclic compounds with a five-membered ring containing one nitrogen atom in the ring
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D165/00Coating compositions based on macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain; Coating compositions based on derivatives of such polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Wood Science & Technology (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials For Photolithography (AREA)
  • Polyoxymethylene Polymers And Polymers With Carbon-To-Carbon Bonds (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本发明揭示一种聚合物,包含由化学式1表示的结构单元及由化学式2表示的结构单元;一种包含所述聚合物的有机膜组成物;以及一种使用所述有机膜组成物形成图案的方法。化学式1及化学式2与本说明书中所定义相同。

Description

聚合物、有机膜组成物以及形成图案的方法
技术领域
本发明揭示一种聚合物、一种包含所述聚合物的有机膜组成物以及一种使用所述有机膜组成物形成图案的方法。
背景技术
近年来,半导体行业已研发了具有数纳米至数十纳米大小的图案的超精细技术。此类超精细技术基本上需要有效微影技术。
典型微影技术包含在半导体基板上提供材料层;在材料层上涂布光阻层;使光阻层暴露且显影以提供光阻图案;以及使用光阻图案作为遮罩而蚀刻材料层。
如今,根据待形成的图案的小尺寸,难以仅通过上文所提及的典型微影技术来提供具有优良轮廓的精细图案。因此,可在材料层与光阻层之间形成称为硬质遮罩层(hardmask layer)的层以提供精细图案。
硬质遮罩层发挥中间层的作用以经由选择性蚀刻制程而将光阻层的精细图案转移至材料层。因此,硬质遮罩层需要具有诸如耐热性及抗蚀刻性的特征,以在多个蚀刻制程期间耐受。
另一方面,近年来已表明旋涂式涂布法(spin-on coating)可替代化学气相沉积(chemical vapor deposition;CVD)法形成硬质遮罩层。旋涂式涂布法不仅可容易执行而且可改良间隙填充(gap-fill)特征及平坦化特征。在本文中,需要填充图案而无空隙之间隙填充特征,因为精细图案可通过必须形成多个图案实现。此外,当基板具有梯级时,或当接近图案的区域及无图案区域一起存在于晶圆上时,硬质遮罩层的表面需要通过底层平坦化。
需要一种有机膜材料,其满足硬质遮罩层所要的特征。
发明内容
技术问题
实施例提供一种具有改良抗热性及抗蚀刻性同时确保可溶性的新颖聚合物。
另一实施例提供一种包含所述聚合物的有机膜组成物。
又另一实施例提供一种使用所述有机膜组成物形成图案的方法。
技术解决方案
根据一实施例,聚合物包含由化学式1表示的结构单元及由化学式2表示的结构单元。
[化学式1]
[化学式2]
在化学式1及化学式2中,
A1为由化学式X表示的部分,
A2为经取代或未经取代的C6至C30芳环且具有不同于A1的结构,
B1及B2独立地为经取代或未经取代的C6至C30芳环,以及
*为键联点:
[化学式X]
在化学式X中,
Ar为经取代或未经取代的四边形环、经取代或未经取代的五边形环、经取代或未经取代的六边形环或其稠环(fused ring),
Ra为氢、羟基、卤素、经取代或未经取代的C1至C30烷氧基、经取代或未经取代的C1至C30烷基、经取代或未经取代的C2至C30烯基、经取代或未经取代的C2至C30炔基、经取代或未经取代的C6至C30芳基、经取代或未经取代的C1至C30杂烷基、经取代或未经取代的C2至C30杂芳基或其组合,
Za独立地为羟基、卤素、经取代或未经取代的C1至C30烷氧基、经取代或未经取代的C1至C30烷基、经取代或未经取代的C2至C30烯基、经取代或未经取代的C2至C30炔基、经取代或未经取代的C6至C30芳基、经取代或未经取代的C1至C30杂烷基、经取代或未经取代的C2至C30杂芳基或其组合,以及
m为0或1。
在化学式1及化学式2中,B1及B2可独立地为族群1的经取代或未经取代的部分中的一者。
[族群1]
在化学式1中,A1可为族群2的部分中的一者。
[族群2]
在族群2中,
R1及R2独立地为氢、羟基、卤素、经取代或未经取代的C1至C30烷氧基、经取代或未经取代的C1至C30烷基、经取代或未经取代的C2至C30烯基、经取代或未经取代的C2至C30炔基、经取代或未经取代的C6至C30芳基、经取代或未经取代的C1至C30杂烷基、经取代或未经取代的C2至C30杂芳基或其组合:
其限制条件为,在族群2中,各部分的氢可独立地经以下基团置换或未经置换:羟基、卤素、经取代或未经取代的C1至C30烷氧基、经取代或未经取代的C1至C30烷基、经取代或未经取代的C2至C30烯基、经取代或未经取代的C2至C30炔基、经取代或未经取代的C6至C30芳基、经取代或未经取代的C1至C30杂烷基、经取代或未经取代的C2至C30杂芳基或其组合。
在化学式2中,A2可为族群1的经取代或未经取代的部分中的一者。
在化学式2中,A2可经至少一个羟基取代。
在化学式1及化学式2中,B1及B2可为经取代或未经取代的C6至C30芳环且可具有相同结构。
聚合物的重量平均分子量可为1,000至200,000。
根据另一实施例,提供一种有机膜组成物,包含如上所述的聚合物及溶剂。
以有机膜组成物的总量计,聚合物可以占约0.1重量%至50重量%的量。
根据另一实施例,形成图案的方法包含在基板上提供材料层,将包含聚合物及溶剂的有机膜组成物涂覆于材料层上,对有机膜组成物进行热处理以形成硬质遮罩层,在硬质遮罩层上形成含硅薄层,在含硅薄层上形成光阻层,使光阻层暴露且显影以形成光阻图案,使用光阻图案而选择性地移除含硅薄层及硬质遮罩层以暴露材料层的一部分以及蚀刻材料层的暴露部分。
可使用旋涂式涂布法涂覆有机膜组成物。
所述方法可还包含在形成光阻层之前形成底部抗反射涂层(bottomantireflective coating;BARC)。
发明效果
根据实施例的聚合物展现改良的抗热性及抗蚀刻性。当聚合物用作有机膜材料时,可提供具有改良的膜密度及抗蚀刻性且满足平坦性的有机膜。
附图说明
图1为解释根据实施例的形成图案的方法的流程图。
具体实施方式
下文将详细地描述本揭示内容的例示性实施例,且所述例示性实施例可容易地由所属领域的技术人员执行。然而,本揭示内容可以多种不同形式实施,且不应解释为限于本文所阐述的例示性实施例。
在本说明书中,当未另外提供定义时,‘经取代之’可指通过由以下中选出的取代基置换化合物的氢原子:卤素原子(F、Br、Cl或I)、羟基、烷氧基、硝基、氰基、胺基、迭氮基、甲脒基、肼基、亚肼基、羰基、胺甲酰基、硫醇基、酯基、羧基或其盐、磺酸基或其盐、磷酸或其盐、C1至C30烷基、C2至C30烯基、C2至C30炔基、C6至C30芳基、C7至C30芳基烷基、C1至C30烷氧基、C1至C20杂烷基、C3至C20杂芳基烷基、C3至C30环烷基、C3至C15环烯基、C6至C15环炔基、C3至C30杂环烷基以及其组合。
在本说明书中,当未另外提供定义时,术语‘杂’是指包含1至3个选自N、O、S以及P的杂原子的一者。
在本说明书中,当未另外提供定义时,‘*’是指化合物或化合物部分(moiety)的键联点。
在下文中,描述根据一实施例的聚合物。
根据实施例的聚合物包含由化学式1表示的结构单元及由化学式2表示的结构单元。
[化学式1]
[化学式2]
在化学式1及化学式2中,
A1为由化学式X表示的部分,
A2为经取代或未经取代的C6至C30芳环且具有不同于A1的结构,
B1及B2独立地为经取代或未经取代的C6至C30芳环,以及
*为键联点:
[化学式X]
在化学式X中,
Ar为经取代或未经取代的四边形环、经取代或未经取代的五边形环、经取代或未经取代的六边形环或其稠环(fused ring),
Ra为氢、羟基、卤素、经取代或未经取代的C1至C30烷氧基、经取代或未经取代的C1至C30烷基、经取代或未经取代的C2至C30烯基、经取代或未经取代的C2至C30炔基、经取代或未经取代的C6至C30芳基、经取代或未经取代的C1至C30杂烷基、经取代或未经取代的C2至C30杂芳基或其组合,
Za独立地为羟基、卤素、经取代或未经取代的C1至C30烷氧基、经取代或未经取代的C1至C30烷基、经取代或未经取代的C2至C30烯基、经取代或未经取代的C2至C30炔基、经取代或未经取代的C6至C30芳基、经取代或未经取代的C1至C30杂烷基、经取代或未经取代的C2至C30杂芳基或其组合,以及
m为0或1。
聚合物包含由化学式1表示的结构单元及由化学式2表示的结构单元,其中此等结构单元的数目及配置不受限制。
由化学式1及化学式2表示的结构单元由A1及A2表示的第一部分与氢原子(H)、碳、B1以及B2表示的第二部分组成。
在化学式1及化学式2中,A1与A2具有不同结构。
首先,在化学式1中,A1为吲哚(indole)化合物或其衍生物且由化学式X表示。
在化学式X中,Ar为经取代或未经取代的四边形环、经取代或未经取代的五边形环、经取代或未经取代的六边形环或其稠环(fused ring),且稠环可为例如2个、3个或4个苯环的稠合型式,但不限于此。
在化学式1中,A1可为例如族群2的部分中的一者,但不限于此。
[族群2]
在族群2中,
R1及R2独立地为氢、羟基、卤素、经取代或未经取代的C1至C30烷氧基、经取代或未经取代的C1至C30烷基、经取代或未经取代的C2至C30烯基、经取代或未经取代的C2至C30炔基、经取代或未经取代的C6至C30芳基、经取代或未经取代的C1至C30杂烷基、经取代或未经取代的C2至C30杂芳基或其组合:
其限制条件为,在族群2中,各部分的氢可独立地经以下基团置换或未经置换:羟基、卤素、经取代或未经取代的C1至C30烷氧基、经取代或未经取代的C1至C30烷基、经取代或未经取代的C2至C30烯基、经取代或未经取代的C2至C30炔基、经取代或未经取代的C6至C30芳基、经取代或未经取代的C1至C30杂烷基、经取代或未经取代的C2至C30杂芳基或其组合。
在族群2中,每一部分与化学式1键联处的位置不受特定限制。可通过选择环基的经取代的官能基的类别及数目而容易地控制聚合物的特性。
另一方面,在化学式2中,A2为经取代或未经取代的C6至C30芳环且具有不同于A1(即吲哚或其衍生物)的结构。
在化学式2中,A2可为族群1的经取代或未经取代的部分中的一者,但不限于此。
[族群1]
在族群1中,每一部分与化学式2键联处的位置不受特定限制。举例而言,当族群1中的部分经取代时,部分中的至少一个氢可由以下基团置换:羟基、经取代或未经取代的C1至C30烷基、经取代或未经取代的C2至C30烯基、经取代或未经取代的C2至C30炔基、经取代或未经取代的C1至C30烷氧基或其组合,但不限于此。举例而言,A2可经至少一个羟基取代。
聚合物具有由化学式1中的A1及由化学式2中的A2表示的环基部分,且因此可保证抗蚀刻性。聚合物包含由化学式1表示的结构单元中之吲哚部分(A1)且因此具有包含氮原子(N)的五边形环部分的一侧由环(ring)密闭(稠合),但五边形环部分的另一侧未由环稠合而为敞开(亦即,五边形的环的一侧与Ar稠合,但五边形环部分的另一侧不在化学式X中)的结构,相较于具有包含氮原子的五边形环部分均密闭的结构的咔唑类聚合物,所述结构在焙烤期间可相对地促进聚合物内部或聚合物间的键结,且因此增大碳含量以及因此极大改良抗蚀刻性。
如上文所描述,由化学式1及化学式2表示的结构单元包含由氢原子(H)、碳、B1以及B2表示的第二部分。
举例而言,在化学式1及化学式2中,B1及B2为族群1的经取代或未经取代的部分中的每一者,但不限于此。
[族群1]
举例而言,在化学式1及化学式2中,B1及B2可具有相同结构。
聚合物包含由A1、A2、B1以及B2表示的碳环基,且因此可确保抗蚀刻性。
另一方面,包含于第二部分中的碳对应于“三级碳”。本文中,三级碳指示与碳原子键结的四个氢中的三个氢由除了氢以外的其他基团置换的碳。
聚合物包含由化学式1及化学式2表示的所有结构单元中的三级碳,且因此可具有最大化环参数(ring parameter)并且提升抗蚀刻性。此外,当包含此类型的碳的聚合物用于制备有机膜组成物时,可改良硬质遮罩层的溶解性且因此可对所述有机膜组成物应用旋涂式涂布法。
例如可经由三元聚合(terpolymerization)获得所述聚合物。在聚合物中,三级碳结构可例如通过在聚合物合成期间引入醛化合物或其衍生物作为亲电子剂(electrophile)而形成。聚合物具有经由醛(aldehyde)化合物或其衍生物的三元聚合而在单体(且所述单体具有较高碳含量)的键结位置处的碳含量增加而氢数目减少的三级碳结构且因此加固抗蚀刻性。
举例而言,聚合物的重量平均分子量可为约500至200,000。更具体而言,聚合物的重量平均分子量可为约1,000至20,000。当聚合物的重量平均分子量在所述范围内时,可通过调整碳的量及溶剂中的溶解性而最佳化包含所述聚合物的有机膜组成物(例如,硬质遮罩组成物)。
当所述聚合物用作有机膜材料时,在焙烤过程期间可能不仅获得均一薄层而不形成针孔或空隙及劣化厚度分布,且当下部基板(或层)具有梯级或经图案化时,可获得极佳间隙填充及平坦化特征。
根据另一实施例,提供一种有机膜组成物,包含如上所述的聚合物及溶剂。
溶剂可为具有足够溶解性或分散性的任何溶剂或聚合物且可包含例如由以下中选出的至少一者:丙二醇、丙二醇二乙酸酯、甲氧基丙二醇、二乙二醇、二乙二醇丁基醚、三(乙二醇)单甲基醚、丙二醇单甲基醚、丙二醇单甲基醚乙酸酯、环己酮、乳酸乙酯、γ-丁内酯、N,N-二甲基甲酰胺、N,N-二甲基乙酰胺、甲基吡咯啶酮、甲基吡咯啶酮、乙酰基丙酮以及3-乙氧基丙酸乙酯。
按有机膜组成物的总量计,聚合物可以占约0.1至50重量%、约0.1至30重量%或约0.1至15重量%的量。当聚合物包含于所述范围内时,可控制有机膜的厚度、表面粗糙度以及平坦化。
有机膜组成物可更包含如下添加剂:界面活性剂、交联剂、热酸产生剂或塑化剂。
界面活性剂可包含,例如,氟烷基类化合物,烷基苯磺酸盐、烷基吡锭盐、聚乙二醇或四级铵盐,但不限于此。
交联剂可以是例如三聚氰胺类交联剂、经取代的脲类交联剂或聚合物类交联剂。较佳地,交联剂可为具有至少两个交联形成取代基的交联剂,例如诸如以下的化合物:甲氧基甲基化甘脲、丁氧基甲基化甘脲、甲氧基甲基化三聚氰胺、丁氧基甲基化三聚氰胺、甲氧基甲基化苯并胍胺、丁氧基甲基化苯并胍胺、甲氧基甲基化脲、丁氧基甲基化脲、甲氧基甲基化硫脲或丁氧基甲基化硫脲以及其类似物。
交联剂可为具有高耐热性的交联剂。具有高耐热性的交联剂可以是在分子内的包含交联取代基的化合物,所述交联取代基包含芳环(例如,苯环或萘环)。
热酸产生剂可为例如酸性化合物,诸如对甲苯磺酸、三氟甲烷磺酸、吡锭对甲苯磺酸、水杨酸、磺基水杨酸、柠檬酸、苯甲酸、羟基苯甲酸、萘碳酸以及其类似物或/和2,4,4,6-四溴环己二烯酮、安息香甲苯磺酸酯、2-硝基苯甲基甲苯磺酸酯、其他有机磺酸烷基酯以及其类似物,但不限于此。
按100重量份有机膜组成物计,添加剂可以约0.001至40重量份的量存在。在所述范围内,可改良溶解性,而不改变有机膜组成物的光学特性。
根据另一实施例,提供一种使用有机膜组成物所制造的有机膜。有机膜可例如通过在基板上涂布有机膜组成物且对所述有机膜组成物进行热处理以固化而形成,且可包含例如硬质遮罩层、平坦化层、牺牲层、填充剂以及用于电子装置的其类似物。
下文中,参考图1描述一种使用有机膜组成物形成图案的方法。
图1为展示根据实施例的形成图案的方法的流程图。
根据实施例的形成图案的方法包含,在基板上提供材料层(S1),将包含聚合物及溶剂的有机膜组成物涂覆于所述材料层上(S2),对所述有机膜组成物进行热处理以形成硬质遮罩层(S3),在所述硬质遮罩层上形成含硅薄层(S4),在所述含硅薄层上形成光阻层(S5),使所述光阻层暴露且显影以形成光阻图案(S6),使用所述光阻图案而选择性地移除所述含硅薄层及所述硬质遮罩层以暴露所述材料层的一部分(S7),以及蚀刻所述材料层的暴露部分(S8)。
基板可为例如硅晶圆、玻璃基板或聚合物基板。
材料层为待最终图案化的材料,例如金属层(诸如铝层及铜层)、半导体层(诸如硅层)或绝缘层(诸如氧化硅层及氮化硅层)。材料层可经由诸如化学气相沉积(chemicalvapor deposition;CVD)制程的方法形成。
有机膜组成物与上述相同,且可通过旋涂式涂布法以溶液形式涂覆。在本文中,有机膜组成物的厚度不受特别限制,但可为例如约50至(埃)。
有机膜组成物的热处理可例如在约100至700℃下进行约10秒至1小时。
含硅薄层可由例如SiCN、SiOC、SiON、SiOCN、SiC、SiO和/或SiN以和/或其类似物的材料形成。
方法可还包含在形成光阻层之前在含硅薄层上形成底部抗反射涂层(bottomanti-reflective coating,BARC)。
光阻层的暴露可使用例如ArF、KrF或EUV执行。暴露之后,可在约100至700℃下执行热处理。
材料层的暴露部分的蚀刻制程可经由使用蚀刻气体的干式蚀刻制程执行,且所述蚀刻气体可为例如(但不限于)CHF3、CF4、Cl2、BCl3以及其混合气体。
经蚀刻的材料层可以多个图案而形成,并且所述多个图案可为金属图案、半导体图案、绝缘图案化以及其类似者,例如半导体集成电路装置的不同图案。
在下文中,参考实例更详细说明本揭示内容。然而,此等实例为例示性的且本揭示内容不限于此。
本发明模式
合成实例
合成实例1
将61.5g(克)(0.43摩尔(mol))的1-萘酚(1-naphthol)、50.0g(0.43摩尔)的吲哚(Indole)、133g(0.85摩尔)的1-萘甲醛(1-naphtaldehyde)以及41.0g(0.43摩尔)的甲磺酸(Methanesulfonic acid)添加至配备有机械搅拌器及冷凝器的500ml(毫升)的2颈烧瓶中的285.9g的1,4-二恶烷(1,4-dioxane),且将混合物加热高达100℃并且搅拌24小时。当反应完成时,烧瓶的内部温度下降至60~70℃,向烧瓶中添加300g的四氢呋喃以防止化合物硬化并且使用7%酸性碳酸钠(sodium bicarbonate)水溶液将化合物的pH值调节为5~6。随后,将1000ml的乙酸乙酯倒入烧瓶中,且连续地搅拌所得混合物,且接着利用分液漏斗过滤以仅获取有机层。接着,将500ml的水经由分液漏斗分三次重复地倒入,且接着摇动烧瓶以移除烧瓶中剩余的酸及钠盐以最终获取有机层。随后,用蒸发器浓缩所得有机溶液以获得化合物,且向化合物中添加1L(升)的四氢呋喃以获得溶液。
以逐滴方式将溶液缓慢添加至烧杯,在烧杯中5L的己烷经搅拌以形成沉淀并且获得包含化学式1a中所展示的结构单元的聚合物。
在通过使用凝胶渗透层析法(gel permeation chromatography:GPC)来测量聚合物的重量平均分子量(molecular weight,Mw)及多分散性(polydispersity,PD)时,重量平均分子量(Mw)为1,890并且多分散性(polydispersity,PD)为1.35。
[化学式1a]
合成实例2
除了使用1H-苯并吲哚(1H-Benzoindole)代替吲哚以外,根据与合成实例1相同的方法获得包含化学式2a中所展示的结构单元的聚合物。
聚合物的重量平均分子量(Mw)为3,100且聚合物的多分散性(polydispersity;PD)为1.43。
[化学式2a]
合成实例3
除了使用1H,1H’-3,3’-二吲哚代(1H,1H’-3,3’-biindole)替吲哚以外,根据与合成实例1相同的方法获得包含化学式3a中所展示的结构单元的聚合物。
聚合物的重量平均分子量(Mw)为2,140且聚合物的多分散性(polydispersity;PD)为1.32。
[化学式3a]
合成实例4
除了使用1H-二苯并[e,g]吲哚(1H-dibenzo[e,g]indole)代替吲哚以外,根据与合成实例1相同的方法获得包含化学式4a中所展示的结构单元的聚合物。
聚合物的重量平均分子量(Mw)为2,470且聚合物的多分散性(polydispersity;PD)为1.29。
[化学式4a]
合成实例5
除了使用菲-9-醇(Phenanthren-9-ol)代替1-萘酚以外,根据与合成实例1相同的方法获得包含化学式5a中所展示的结构单元的聚合物。
聚合物的重量平均分子量(Mw)为1,760且聚合物的多分散性(polydispersity;PD)为1.43。
[化学式5a]
合成实例6
除了使用9-菲甲醛(9-phenanthrene carbaldehyde)代替1-萘甲醛以外,根据与合成实例5相同的方法获得包含化学式6a中所展示的结构单元的聚合物。
聚合物的重量平均分子量(Mw)为2,410且聚合物的多分散性(polydispersity;PD)为1.55。
[化学式6a]
合成实例7
除了使用1-羟基芘(1-hydroxypyrene)代替菲-9-醇及使用芘-1-甲醛(pyrene-1-carbaldehyde)代替9-菲甲醛以外,根据与合成实例6相同的方法获得包含化学式7a中所展示的结构单元的聚合物。
聚合物重量平均分子量(Mw)为1,570且聚合物的多分散性(polydispersity;PD)为1.26。
[化学式7a]
合成实例8
除了使用1H-苯并吲哚(1H-Benzoindole)代替吲哚以外,根据与合成实例7相同的方法获得包含化学式8a中所展示的结构单元的聚合物。
聚合物的重量平均分子量(Mw)为1,940且聚合物的多分散性(polydispersity;PD)为1.35。
[化学式8a]
合成实例9
除了使用1-苯基-1H-吲哚(1-Phenyl-1H-indole)代替吲哚以外,根据与合成实例7相同的方法获得包含化学式9a中所展示的结构单元的聚合物。
聚合物的重量平均分子量(Mw)为1,550且聚合物的多分散性(polydispersity;PD)为1.31。
[化学式9a]
合成实例10
将27.0g的根据合成实例1的聚合物及200g的二甲基甲酰胺(Dimethylformamide)置于配备有机械搅拌器的500ml的2颈烧瓶中且接着搅拌。当聚合物完全地溶解时,将烧瓶置于充满冰的冷却水槽中并且搅拌。随后,以逐滴方式向聚合物中缓慢添加6g的氢化钠(Sodium hydride),并且搅拌混合物。搅拌30分钟后,向混合物中缓慢添加17.8g的溴丙炔(Propargyl bromide),且搅拌所得混合物8小时。当反应完成时,将50ml的乙醇缓慢置于烧瓶中,且10分钟之后将所获得反应溶液缓慢添加至2L烧杯中的经搅拌的1.5L的水中。当完成添加,搅拌所得混合物2小时。将沉淀于烧杯中的固体过滤,自固体移除水分后,用500ml的水与200ml的乙醇之混合物重复地洗涤三次且脱水以获得包含由化学式10a表示的结构单元的聚合物。
聚合物的重量平均分子量(Mw)为1,920且聚合物的多分散性(polydispersity;PD)为1.34。
[化学式10a]
比较合成实例1
依序将20g(0.103摩尔)的1-羟基蒽及3.08g(0.103摩尔)的三聚甲醛置于500ml的烧瓶中且溶解于42g的丙二醇单甲醚乙酸酯(propylene glycol monomethyl etheracetate,PGMEA)中,向烧瓶中添加0.4g(0.002摩尔)的对甲苯磺酸,以及在90℃至120℃下将混合物搅拌5至10小时。当每一小时获自聚合反应物的样本的重量平均分子量为3,000至4,200时,反应完成以获得包含由化学式A表示的结构单元的聚合物。
聚合物的重量平均分子量(Mw)为3,200且聚合物的多分散性(polydispersity;PD)为1.85。
[化学式A]
比较合成实例2
依序将33g(0.23摩尔)的Indole(吲哚)及35.9g(0.23摩尔)的1-萘甲醛(1-Naphthaldehyde)置于500ml的烧瓶中,且接着溶解于200g的丙二醇单甲醚乙酸酯(PGMEA),向烧瓶中添加1g(0.005摩尔)的对甲苯磺酸,以及在90℃至120℃下将混合物搅拌约8小时。当每一小时获自聚合反应物的样本的重量平均分子量为3,000至4,000时,反应完成以获得包含由化学式B表示的结构单元的聚合物。
聚合物的重量平均分子量(Mw)为1,520且聚合物的多分散性(polydispersity;PD)为1.72。
[化学式B]
比较合成实例3
除了使用咔唑代替吲哚以外,根据与合成实例1相同的方法获得包含化学式C中所展示的结构单元的聚合物。
聚合物的重量平均分子量(Mw)为2,680且聚合物的多分散性(polydispersity;PD)为1.56。
[化学式C]
比较合成实例4
除了使用1,3-二氢吲哚[2,3-b]咔唑(1,3-dihydroindolo[2,3-b]carbazole)代替吲哚以外,根据与合成实例4相同的方法获得包含化学式D中所展示的结构单元的聚合物。
聚合物的重量平均分子量(Mw)为4,620且聚合物的多分散性(polydispersity;PD)为1.73。
[化学式D]
硬质遮罩组成物的制备
实例1
将根据合成实例1的聚合物溶解于丙二醇单甲醚乙酸酯(propylene glycolmonomethyl ether acetate,PGMEA)与环己酮(cyclohexanone)的混合溶剂(7:3(v/v))中,且经由0.1μm(微米)铁氟龙(TEFLON)(四氟乙烯)过滤器过滤所述溶液以制备硬质遮罩组成物。按硬质遮罩组成物的整体重量计,视厚度而定,以5.0重量%至20.0重量%的量使用聚合物。
实例2
除了使用合成实例2的化合物代替合成实例1的聚合物以外,根据与实例1相同的方法制备硬质遮罩组成物。
实例3
除了使用合成实例3的化合物代替合成实例1的聚合物以外,根据与实例1相同的方法制备硬质遮罩组成物。
实例4
除了使用合成实例4的化合物代替合成实例1的聚合物以外,根据与实例1相同的方法制备硬质遮罩组成物。
实例5
除了使用合成实例5的化合物代替合成实例1的聚合物以外,根据与实例1相同的方法制备硬质遮罩组成物。
实例6
除了使用合成实例6的化合物代替合成实例1的聚合物以外,根据与实例1相同的方法制备硬质遮罩组成物。
实例7
除了使用合成实例7的化合物代替合成实例1的聚合物以外,根据与实例1相同的方法制备硬质遮罩组成物。
实例8
除了使用合成实例8的化合物代替合成实例1的聚合物以外,根据与实例1相同的方法制备硬质遮罩组成物。
实例9
除了使用合成实例9的化合物代替合成实例1的聚合物以外,根据与实例1相同的方法制备硬质遮罩组成物。
实例10
除了使用合成实例10的化合物代替合成实例1的聚合物以外,根据与实例1相同的方法制备硬质遮罩组成物。
比较例1
除了使用比较合成实例1的化合物代替合成实例1的聚合物以外,根据与实例1相同的方法制备硬质遮罩组成物。
比较例2
除了使用比较合成实例2的化合物代替合成实例1的聚合物以外,根据与实例1相同的方法制备硬质遮罩组成物。
比较例3
除了使用比较合成实例3的化合物代替合成实例1的聚合物以外,根据与实例1相同的方法制备硬质遮罩组成物。
比较例4
除了使用比较合成实例4的化合物代替合成实例1的聚合物以外,根据与实例1相同的方法制备硬质遮罩组成物。
评价
评价1:抗蚀刻性
将根据实例1至10以及比较例1至4的每一硬质遮罩组成物分别以(埃)的厚度旋涂布在硅晶圆上且于加热板上在240℃下热处理1分钟,以形成每一薄膜。
随后,测量每一薄膜的厚度。接着,通过分别使用CHF3/CF4混合气体与N2/O2混合气体干式蚀刻薄膜分别100秒及60秒,且再次测量薄膜厚度。使用干式蚀刻之前及之后的薄膜的厚度及薄膜的蚀刻时间根据计算方程式1计算主体蚀刻速率(bulk etch rate,BER)。
[计算方程式1]
(初始薄膜厚度-蚀刻后的薄膜厚度)/蚀刻时间((埃/秒))
结果展示于表1中。
[表1]
通过分别地将热处理温度改变为400℃且时间改变为2分钟来计算蚀刻速率。结果展示于表2中。
[表2]
参考表1及表2,分别由根据实例1至10的硬质遮罩组成物形成的每一薄膜展示出相较于由根据比较例1至4的硬质遮罩组成物形成的膜的改良主体蚀刻特征,此是由于对蚀刻气体的足够抗蚀刻性。
评价2:膜密度
将根据实例1至10以及比较例1至4的每一硬质遮罩组成物分别旋涂涂布于硅晶圆上且于加热板上在240℃下热处理1分钟以形成厚度为的每一薄膜。
使用PANalytical社(帕纳科公司)的X-射线绕射分析(X-ray diffraction)设备来测量每一薄膜的膜密度。
结果展示于表3中。
[表3]
膜密度(g/cm<sup>3</sup>)
实例1 1.30
实例2 1.31
实例3 1.39
实例4 1.38
实例5 1.32
实例6 1.40
实例7 1.41
实例8 1.38
实例9 1.39
实例10 1.36
比较例1 1.19
比较例2 1.20
比较例3 1.25
比较例4 1.24
参考表3,由根据实例1至10的硬质遮罩组成物形成的每一薄膜展示出相较于由根据比较例1至4的硬质遮罩组成物形成的膜的较高膜密度。
尽管本发明已结合目前视为实践实例实施例来描述,但应了解,本发明不限于所揭示的实施例,但相反,本发明意欲涵盖包含在所附权利要求的精神及范畴内的各种修改及等效配置。

Claims (17)

1.一种聚合物,包括:
由化学式1表示的结构单元,及
由化学式2表示的结构单元:
[化学式1]
[化学式2]
其中,在化学式1及化学式2中,
A1为由化学式X表示的部分,
A2为经取代或未经取代的C6至C30芳环且具有不同于A1的结构,B1及B2独立地为经取代或未经取代的C6至C30芳环,以及
*为键联点:
[化学式X]
其中,在化学式X中,
Ar为经取代或未经取代的四边形环、经取代或未经取代的五边形环、经取代或未经取代的六边形环或其稠环(fused ring),
Ra为氢、羟基、卤素、经取代或未经取代的C1至C30烷氧基、经取代或未经取代的C1至C30烷基、经取代或未经取代的C2至C30烯基、经取代或未经取代的C2至C30炔基、经取代或未经取代的C6至C30芳基、经取代或未经取代的C1至C30杂烷基、经取代或未经取代的C2至C30杂芳基或其组合,
Za独立地为羟基、卤素、经取代或未经取代的C1至C30烷氧基、经取代或未经取代的C1至C30烷基、经取代或未经取代的C2至C30烯基、经取代或未经取代的C2至C30炔基、经取代或未经取代的C6至C30芳基、经取代或未经取代的C1至C30杂烷基、经取代或未经取代的C2至C30杂芳基或其组合,以及
m为0或1。
2.根据权利要求1所述的聚合物,其中在化学式1及化学式2中,B1及B2独立地为族群1的经取代或未经取代的部分中的一者:
[族群1]
3.根据权利要求1所述的聚合物,其中在化学式1中,A1为族群2的部分中的一者:
[族群2]
其中,在族群2中,
R1及R2独立地为氢、羟基、卤素、经取代或未经取代的C1至C30烷氧基、经取代或未经取代的C1至C30烷基、经取代或未经取代的C2至C30烯基、经取代或未经取代的C2至C30炔基、经取代或未经取代的C6至C30芳基、经取代或未经取代的C1至C30杂烷基、经取代或未经取代的C2至C30杂芳基或其组合,
其限制条件为,在族群2中,每一部分的氢独立地经以下基团置换或未经置换:羟基、卤素、经取代或未经取代的C1至C30烷氧基、经取代或未经取代的C1至C30烷基、经取代或未经取代的C2至C30烯基、经取代或未经取代的C2至C30炔基、经取代或未经取代的C6至C30芳基、经取代或未经取代的C1至C30杂烷基、经取代或未经取代的C2至C30杂芳基或其组合。
4.根据权利要求1所述的聚合物,其中在化学式2中,A2为族群1的经取代或未经取代的部分中的一者:
[族群1]
5.根据权利要求4所述的聚合物,其中A2经至少一个羟基取代。
6.根据权利要求1所述的聚合物,其中在化学式1及化学式2中,B1及B2为经取代或未经取代的C6至C30芳环且具有相同结构。
7.根据权利要求1所述的聚合物,其中重量平均分子量为1,000至200,000。
8.一种有机膜组成物,包括:
聚合物,包含由化学式1表示的结构单元及由化学式2表示的结构单元,及
溶剂:
[化学式1]
[化学式2]
其中,在化学式1及化学式2中,
A1为由化学式X表示的部分,
A2为经取代或未经取代的C6至C30芳环且具有不同于A1的结构,
B1及B2独立地为经取代或未经取代的C6至C30芳环,以及
*为键联点:
[化学式X]
其中,在化学式X中,
Ar为经取代或未经取代的四边形环、经取代或未经取代的五边形环、经取代或未经取代的六边形环或其稠环(fused ring),
Ra为氢、羟基、卤素、经取代或未经取代的C1至C30烷氧基、经取代或未经取代的C1至C30烷基、经取代或未经取代的C2至C30烯基、经取代或未经取代的C2至C30炔基、经取代或未经取代的C6至C30芳基、经取代或未经取代的C1至C30杂烷基、经取代或未经取代的C2至C30杂芳基或其组合,
Za独立地为羟基、卤素、经取代或未经取代的C1至C30烷氧基、经取代或未经取代的C1至C30烷基、经取代或未经取代的C2至C30烯基、经取代或未经取代的C2至C30炔基、经取代或未经取代的C6至C30芳基、经取代或未经取代的C1至C30杂烷基、经取代或未经取代的C2至C30杂芳基或其组合,以及
m为0或1。
9.根据权利要求8所述的有机膜组成物,其中在化学式1中,A1为族群2的部分中的一者:
[族群2]
其中,在族群2中,
R1及R2独立地为氢、羟基、卤素、经取代或未经取代的C1至C30烷氧基、经取代或未经取代的C1至C30烷基、经取代或未经取代的C2至C30烯基、经取代或未经取代的C2至C30炔基、经取代或未经取代的C6至C30芳基、经取代或未经取代的C1至C30杂烷基、经取代或未经取代的C2至C30杂芳基或其组合,
其限制条件为,在族群2中,每一部分的氢独立地经以下基团置换或未经置换:羟基、卤素、经取代或未经取代的C1至C30烷氧基、经取代或未经取代的C1至C30烷基、经取代或未经取代的C2至C30烯基、经取代或未经取代的C2至C30炔基、经取代或未经取代的C6至C30芳基、经取代或未经取代的C1至C30杂烷基、经取代或未经取代的C2至C30杂芳基或其组合。
10.根据权利要求8所述的有机膜组成物,其中在化学式2中,A2为族群1的经取代或未经取代的部分中的一者:
[族群1]
11.根据权利要求10所述的有机膜组成物,其中A2经至少一个羟基取代。
12.根据权利要求8所述的有机膜组成物,其中在化学式1及化学式2中,B1及B2为经取代或未经取代的C6至C30芳环且具有相同结构。
13.根据权利要求8所述的有机膜组成物,其中所述聚合物的重量平均分子量为1,000至200,000。
14.根据权利要求8所述的有机膜组成物,其中按所述有机膜组成物的总量计,所述聚合物占0.1重量%至30重量%的量。
15.一种形成图案的方法,包括:
在基板上提供材料层,
将如权利要求8至14中任一项所述的有机膜组成物涂覆于所述材料层上,
对所述有机膜组成物进行热处理以形成硬质遮罩层,
在所述硬质遮罩层上形成含硅薄层,
在所述含硅薄层上形成光阻层,
使所述光阻层暴露且显影以形成光阻图案,
使用所述光阻图案选择性移除所述含硅薄层及所述硬质遮罩层以暴露所述材料层的一部分,以及
蚀刻所述材料层的暴露部分。
16.根据权利要求15所述的形成图案的方法,其中使用旋涂式涂布法涂覆所述有机膜组成物。
17.根据权利要求15所述的形成图案的方法,其中所述方法还包含在形成所述光阻层之前,形成底部抗反射涂层(BARC)。
CN201780069472.4A 2016-11-10 2017-07-21 聚合物、有机膜组成物以及形成图案的方法 Pending CN109983053A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
KR10-2016-0149859 2016-11-10
KR20160149859 2016-11-10
KR1020170055623A KR102037818B1 (ko) 2016-11-10 2017-04-28 중합체, 유기막 조성물 및 패턴형성방법
KR10-2017-0055623 2017-04-28
PCT/KR2017/007906 WO2018088673A1 (ko) 2016-11-10 2017-07-21 중합체, 유기막 조성물 및 패턴형성방법

Publications (1)

Publication Number Publication Date
CN109983053A true CN109983053A (zh) 2019-07-05

Family

ID=62453920

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780069472.4A Pending CN109983053A (zh) 2016-11-10 2017-07-21 聚合物、有机膜组成物以及形成图案的方法

Country Status (6)

Country Link
US (1) US11203662B2 (zh)
EP (1) EP3521332A4 (zh)
JP (1) JP7025422B2 (zh)
KR (1) KR102037818B1 (zh)
CN (1) CN109983053A (zh)
TW (1) TWI637975B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102539872B1 (ko) * 2018-08-20 2023-06-05 동우 화인켐 주식회사 하드마스크용 조성물
KR102303554B1 (ko) * 2018-12-26 2021-09-16 삼성에스디아이 주식회사 중합체, 하드마스크 조성물 및 패턴 형성 방법
KR20210034316A (ko) 2019-09-20 2021-03-30 동우 화인켐 주식회사 하드마스크용 조성물
KR102101275B1 (ko) * 2019-10-10 2020-05-15 로움하이텍 주식회사 신규 중합체 및 이를 포함하는 반도체 제조용 레지스트 하층막 조성물
KR102562337B1 (ko) * 2020-08-13 2023-07-31 삼성에스디아이 주식회사 하드마스크 조성물 및 패턴 형성 방법
WO2023243426A1 (ja) * 2022-06-17 2023-12-21 日産化学株式会社 レジスト下層膜形成組成物

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013146670A1 (ja) * 2012-03-27 2013-10-03 日産化学工業株式会社 フェニルインドール含有ノボラック樹脂を含むレジスト下層膜形成組成物
TW201612214A (en) * 2014-09-30 2016-04-01 Samsung Sdi Co Ltd Polymer, organic layer composition, organic layer, and method of forming patterns
CN105646850A (zh) * 2014-11-28 2016-06-08 三星Sdi株式会社 聚合物、有机层组合物、有机层以及形成图案的方法
CN105885018A (zh) * 2015-02-17 2016-08-24 三星Sdi株式会社 聚合物、有机层组成物、有机层以及形成图案的方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4575220B2 (ja) 2005-04-14 2010-11-04 信越化学工業株式会社 レジスト下層膜材料およびパターン形成方法
WO2010061774A1 (ja) 2008-11-27 2010-06-03 日産化学工業株式会社 アウトガス発生が低減されたレジスト下層膜形成組成物
KR101174086B1 (ko) 2008-12-31 2012-08-14 제일모직주식회사 고분자, 고분자 조성물, 이를 포함하는 레지스트 하층막 조성물 및 이를 이용하는 재료의 패턴화 방법
KR101311942B1 (ko) 2009-12-31 2013-09-26 제일모직주식회사 레지스트 하층막용 방향족 고리 함유 화합물 및 이를 포함하는 레지스트 하층막용 조성물
US9263285B2 (en) 2010-12-09 2016-02-16 Nissan Chemical Industries, Ltd. Composition for forming a resist underlayer film including hydroxyl group-containing carbazole novolac resin
KR101832321B1 (ko) * 2011-10-28 2018-02-26 주식회사 동진쎄미켐 자가 가교형 고분자, 이를 포함하는 레지스트 하층막 조성물 및 이를 이용한 패턴 형성 방법
WO2013080929A1 (ja) 2011-12-01 2013-06-06 Jsr株式会社 多層レジストプロセスに用いられるレジスト下層膜形成用組成物、レジスト下層膜及びその形成方法、並びにパターン形成方法
JP6137486B2 (ja) * 2012-02-01 2017-05-31 日産化学工業株式会社 複素環を含む共重合樹脂を含むレジスト下層膜形成組成物
JP6004172B2 (ja) 2012-07-31 2016-10-05 日産化学工業株式会社 カルボニル基含有カルバゾールノボラックを含むリソグラフィー用レジスト下層膜形成組成物
US9244353B2 (en) 2012-08-10 2016-01-26 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition
KR101599961B1 (ko) 2012-12-26 2016-03-04 제일모직 주식회사 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR101582462B1 (ko) 2013-08-23 2016-01-06 (주)디엔에프 신규한 중합체 및 이를 포함하는 조성물
KR101711919B1 (ko) 2014-07-18 2017-03-03 삼성에스디아이 주식회사 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
WO2016021594A1 (ja) 2014-08-08 2016-02-11 日産化学工業株式会社 芳香族メチロール化合物が反応したノボラック樹脂を含むレジスト下層膜形成組成物
KR101829750B1 (ko) * 2015-10-19 2018-02-19 삼성에스디아이 주식회사 중합체, 유기막 조성물, 및 패턴형성방법
WO2017115978A1 (ko) * 2015-12-29 2017-07-06 삼성에스디아이 주식회사 유기막 조성물 및 패턴형성방법
KR102296794B1 (ko) * 2016-07-28 2021-08-31 삼성에스디아이 주식회사 유기막 조성물 및 패턴형성방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013146670A1 (ja) * 2012-03-27 2013-10-03 日産化学工業株式会社 フェニルインドール含有ノボラック樹脂を含むレジスト下層膜形成組成物
TW201612214A (en) * 2014-09-30 2016-04-01 Samsung Sdi Co Ltd Polymer, organic layer composition, organic layer, and method of forming patterns
CN105646850A (zh) * 2014-11-28 2016-06-08 三星Sdi株式会社 聚合物、有机层组合物、有机层以及形成图案的方法
CN105885018A (zh) * 2015-02-17 2016-08-24 三星Sdi株式会社 聚合物、有机层组成物、有机层以及形成图案的方法

Also Published As

Publication number Publication date
US11203662B2 (en) 2021-12-21
JP7025422B2 (ja) 2022-02-24
KR20180052505A (ko) 2018-05-18
TW201817764A (zh) 2018-05-16
EP3521332A1 (en) 2019-08-07
JP2020503395A (ja) 2020-01-30
KR102037818B1 (ko) 2019-10-29
US20190233576A1 (en) 2019-08-01
TWI637975B (zh) 2018-10-11
EP3521332A4 (en) 2020-06-17

Similar Documents

Publication Publication Date Title
CN109983053A (zh) 聚合物、有机膜组成物以及形成图案的方法
CN105885018B (zh) 聚合物、有机层组成物、有机层以及形成图案的方法
CN106188504B (zh) 聚合物、有机层组合物、有机层以及形成图案的方法
CN104024940B (zh) 用于硬掩模组合物的单体、包含该单体的硬掩模组合物、以及使用该硬掩模组合物形成图案的方法
TWI554836B (zh) 硬罩幕組成物和使用所述硬罩幕組成物形成圖案的方法
TWI537325B (zh) 用於硬光罩組合物的單體、硬光罩組合物及形成圖案的方法
TWI597321B (zh) 有機層組成物以及形成圖案的方法
CN108291013A (zh) 聚合物、有机层组成物及图案形成方法
CN108431691A (zh) 有机膜组合物以及形成图案的方法
CN106243326B (zh) 聚合物、有机层组成物、有机层以及形成图案的方法
TWI602845B (zh) 聚合物、有機層組成物、有機層以及形成圖案的方法
TWI644999B (zh) 聚合物、有機層組成物與形成圖案之方法
WO2018079936A1 (ko) 중합체, 유기막 조성물 및 패턴형성방법
CN109478015A (zh) 有机层组成物及图案形成方法
WO2018088673A1 (ko) 중합체, 유기막 조성물 및 패턴형성방법
TWI694092B (zh) 聚合物、有機層組成物及形成圖案的方法
KR102036681B1 (ko) 화합물, 유기막 조성물, 및 패턴형성방법
CN110734528A (zh) 聚合物、有机层组合物以及形成图案的方法
CN111344634B (zh) 硬罩幕组成物与形成图案的方法
JP7428766B2 (ja) ハードマスク組成物、ハードマスク層およびパターン形成方法
JP7353445B2 (ja) ハードマスク組成物、ハードマスク層およびパターン形成方法
JP7418516B2 (ja) ハードマスク組成物、ハードマスク層およびパターン形成方法
KR20180138405A (ko) 유기막 조성물, 중합체 및 패턴 형성 방법
KR101804260B1 (ko) 모노머, 유기막 조성물, 유기막, 및 패턴형성방법
WO2019088396A1 (ko) 중합체, 유기막 조성물 및 패턴 형성 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination