CN106188504B - 聚合物、有机层组合物、有机层以及形成图案的方法 - Google Patents

聚合物、有机层组合物、有机层以及形成图案的方法 Download PDF

Info

Publication number
CN106188504B
CN106188504B CN201510409508.1A CN201510409508A CN106188504B CN 106188504 B CN106188504 B CN 106188504B CN 201510409508 A CN201510409508 A CN 201510409508A CN 106188504 B CN106188504 B CN 106188504B
Authority
CN
China
Prior art keywords
substituted
unsubstituted
organic layer
chemical formula
polymer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510409508.1A
Other languages
English (en)
Other versions
CN106188504A (zh
Inventor
南沇希
金昇炫
权孝英
金瑆焕
南宫烂
文秀贤
豆米尼阿·拉特维
宋炫知
郑铉日
许柳美
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung SDI Co Ltd
Original Assignee
Samsung SDI Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung SDI Co Ltd filed Critical Samsung SDI Co Ltd
Publication of CN106188504A publication Critical patent/CN106188504A/zh
Application granted granted Critical
Publication of CN106188504B publication Critical patent/CN106188504B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/0666Polycondensates containing five-membered rings, condensed with other rings, with nitrogen atoms as the only ring hetero atoms
    • C08G73/0672Polycondensates containing five-membered rings, condensed with other rings, with nitrogen atoms as the only ring hetero atoms with only one nitrogen atom in the ring
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G61/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G61/12Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G12/00Condensation polymers of aldehydes or ketones with only compounds containing hydrogen attached to nitrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G61/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G61/12Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule
    • C08G61/122Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule derived from five- or six-membered heterocyclic compounds, other than imides
    • C08G61/123Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule derived from five- or six-membered heterocyclic compounds, other than imides derived from five-membered heterocyclic compounds
    • C08G61/124Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule derived from five- or six-membered heterocyclic compounds, other than imides derived from five-membered heterocyclic compounds with a five-membered ring containing one nitrogen atom in the ring
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L65/00Compositions of macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain; Compositions of derivatives of such polymers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L79/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing nitrogen with or without oxygen or carbon only, not provided for in groups C08L61/00 - C08L77/00
    • C08L79/04Polycondensates having nitrogen-containing heterocyclic rings in the main chain; Polyhydrazides; Polyamide acids or similar polyimide precursors
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D165/00Coating compositions based on macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain; Coating compositions based on derivatives of such polymers
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D179/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing nitrogen, with or without oxygen, or carbon only, not provided for in groups C09D161/00 - C09D177/00
    • C09D179/04Polycondensates having nitrogen-containing heterocyclic rings in the main chain; Polyhydrazides; Polyamide acids or similar polyimide precursors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/10Definition of the polymer structure
    • C08G2261/12Copolymers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/10Definition of the polymer structure
    • C08G2261/14Side-groups
    • C08G2261/148Side-chains having aromatic units
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/30Monomer units or repeat units incorporating structural elements in the main chain
    • C08G2261/31Monomer units or repeat units incorporating structural elements in the main chain incorporating aromatic structural elements in the main chain
    • C08G2261/312Non-condensed aromatic systems, e.g. benzene
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/30Monomer units or repeat units incorporating structural elements in the main chain
    • C08G2261/31Monomer units or repeat units incorporating structural elements in the main chain incorporating aromatic structural elements in the main chain
    • C08G2261/314Condensed aromatic systems, e.g. perylene, anthracene or pyrene
    • C08G2261/3142Condensed aromatic systems, e.g. perylene, anthracene or pyrene fluorene-based, e.g. fluorene, indenofluorene, or spirobifluorene
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/30Monomer units or repeat units incorporating structural elements in the main chain
    • C08G2261/32Monomer units or repeat units incorporating structural elements in the main chain incorporating heteroaromatic structural elements in the main chain
    • C08G2261/324Monomer units or repeat units incorporating structural elements in the main chain incorporating heteroaromatic structural elements in the main chain condensed
    • C08G2261/3241Monomer units or repeat units incorporating structural elements in the main chain incorporating heteroaromatic structural elements in the main chain condensed containing one or more nitrogen atoms as the only heteroatom, e.g. carbazole
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/30Monomer units or repeat units incorporating structural elements in the main chain
    • C08G2261/34Monomer units or repeat units incorporating structural elements in the main chain incorporating partially-aromatic structural elements in the main chain
    • C08G2261/342Monomer units or repeat units incorporating structural elements in the main chain incorporating partially-aromatic structural elements in the main chain containing only carbon atoms
    • C08G2261/3424Monomer units or repeat units incorporating structural elements in the main chain incorporating partially-aromatic structural elements in the main chain containing only carbon atoms non-conjugated, e.g. paracyclophanes or xylenes

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Health & Medical Sciences (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Materials For Photolithography (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Polyethers (AREA)

Abstract

本发明提供一种聚合物、包含所述聚合物的有机层组合物、由所述有机层组合物制成的有机层以及使用所述有机层组合物形成图案的方法。所述聚合物包含用化学式1表示的部分,所述化学式1的定义与具体实施方式中所定义的相同。所述聚合物同时确保抗蚀刻性和平面化特征。

Description

聚合物、有机层组合物、有机层以及形成图案的方法
相关申请案的交叉引用
本发明主张2014年9月30日在韩国知识产权局提交的韩国专利申请案第10-2014-0131589号的优先权和权益,所述申请案的全部内容以引用的方式并入本文中。
技术领域
本发明揭示一种聚合物、包含所述聚合物的有机层组合物、由所述有机层组合物制成的有机层以及使用所述有机层组合物形成图案的方法。
背景技术
最近,半导体行业已经研发出具有几纳米到几十纳米尺寸的图案的超精细技术。这种超精细技术主要需要有效的光刻技术。典型的光刻技术包含:在半导体衬底上提供材料层;在所述材料层上涂布光刻胶层;使所述光刻胶层曝光并且显影以提供光刻胶图案;以及使用所述光刻胶图案作为掩模来蚀刻所述材料层。现如今,根据待形成的图案的小型化,仅仅通过上述典型光刻技术难以提供具有极佳轮廓的精细图案。因此,可以在材料层与光刻胶层之间形成被称为硬掩模层的有机层来提供精细图案。硬掩模层起到中间层的作用,用于通过选择性蚀刻工艺来将光刻胶的精细图案转移到材料层。因此,需要硬掩模层具有如抗蚀刻性等特征以使其在多种蚀刻工艺期间耐受。另一方面,最近已经提出了通过旋涂法代替化学气相沉积来形成硬掩模层。旋涂法易于进行并且还可以改良间隙填充特征和平面化特征。需要用薄膜填充图案的间隙填充特征,因为多个图案对于获得精细图案而言是必需的。此外,当作为涂层衬底的晶片具有凸块或具有较稠密图案区和无图案区时,需要使薄膜表面平面化的平面化特征。需要研发满足硬掩模层特征的有机层材料。
发明内容
本发明提供一种聚合物、包含所述聚合物的有机层组合物、通过固化所述有机层组合物形成的有机层以及使用有机层组合物形成图案的方法。所述聚合物同时确保抗蚀刻性和平面化特征。
根据一个实施例,提供包含由以下化学式1表示的部分的聚合物。
[化学式1]
在化学式1中,
A1和A2独立地是衍生自以下族群1所列化合物中的一个的二价基团,
A3是以下族群2所列基团中的一个,以及
m是0或1。
[族群1]
在族群1中,
R1、R2和R3独立地是氢(-H)、羟基(-OH)、氧(O)、甲氧基(-OCH3)、乙氧基(-OC2H5)、卤素(-F、-Cl、-Br、-I)、经取代或未经取代的C6到C30芳基或其组合,
Z1到Z6独立地是羟基(-OH)、甲氧基(-OCH3)、乙氧基(-OC2H5)、卤素(-F、-Cl、-Br、-I)、经取代或未经取代的C6到C30芳基、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷胺基(alkylamine group)、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C4烷基醚基、经取代或未经取代的C7到C20芳基亚烷基醚基、经取代或未经取代的C1到C30卤烷基或其组合,以及
a、b、c、d、e以及f独立地是0到2的整数。
[族群2]
在族群2中,
X1和X2独立地是经取代或未经取代的C6到C50亚芳基、经取代或未经取代的C1到C10含环氧烷的基团或其组合,
Y1和Y2独立地是经取代或未经取代的C6到C30芳基,
Z7到Z10独立地是羟基(-OH)、甲氧基(-OCH3)、乙氧基(-OC2H5)、卤素(-F、-Cl、-Br、-I)、经取代或未经取代的C6到C30芳基、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C4烷基醚基、经取代或未经取代的C7到C20芳基亚烷基醚基、经取代或未经取代的C1到C30卤烷基或其组合,以及
g、h、i以及j独立地是0到2的整数。
根据一实施例,在族群2中,X1和X2可以独立地是衍生自以下族群3所列化合物中的一个的二价基团。
[族群3]
根据一实施例,在族群2中,Y1和Y2可以独立地是衍生自以下族群3所列化合物中的一个的单价基团。
根据一实施例,在族群2中,X2、Y1和Y2中的至少一个可以是衍生自经取代或未经取代的苯、经取代或未经取代的萘、经取代或未经取代的联苯、经取代或未经取代的芘(pyrene)、经取代或未经取代的苝(perylene)、经取代或未经取代的苯并苝(benzoperylene)、经取代或未经取代的六苯并苯(coronene)或其组合的基团。
根据一实施例,在族群1中,R1、R2和R3可以独立地是氢(-H)或经取代或未经取代的苯基。
根据一实施例,聚合物可以由以下化学式2-1到化学式2-10中的一个表示。
[化学式2-1]
[化学式2-2]
[化学式2-3]
[化学式2-4]
[化学式2-5]
[化学式2-6]
[化学式2-7]
[化学式2-8]
[化学式2-9]
[化学式2-10]
在化学式2-1到化学式2-10中,
R4和R5独立地是氢(-H)、氧(O)、甲氧基(-OCH3)、乙氧基(-OC2H5)、羟基(-OH)、卤素(-F、-Cl、-Br、-I)、经取代或未经取代的C6到C30芳基或其组合,
Z11到Z22独立地是羟基(-OH)、甲氧基(-OCH3)、乙氧基(-OC2H5)、卤素(-F、-Cl、-Br、-I)、经取代或未经取代的C6到C30芳基、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C4烷基醚基、经取代或未经取代的C7到C20芳基亚烷基醚基、经取代或未经取代的C1到C30卤烷基或其组合,
k、l、m、n、o、p、q、r、s、t、u以及v独立地是0到2的整数,以及
n0、n1以及n2是2到300的整数。
根据一实施例,聚合物的重量平均分子量可以是约1,000到约200,000。
根据另一实施例,提供一种包含聚合物和溶剂的有机层组合物。
根据一实施例,以有机层组合物的总量计,聚合物可以以约0.1重量%到约30重量%的量被包含在内。
根据又一实施例,提供通过固化有机层组合物形成的有机层。
根据另一实施例,一种形成图案的方法包含:在衬底上提供材料层,在所述材料层上涂覆有机层组合物,热处理所述有机层组合物以形成硬掩模层,在所述硬掩模层上形成含硅薄层,在所述含硅薄层上形成光刻胶层,使所述光刻胶层曝光并且显影以形成光刻胶图案,使用所述光刻胶图案选择性地移除所述含硅薄层和所述硬掩模层以使一部分所述材料层暴露,以及蚀刻所述材料层的暴露部分。
根据一实施例,有机层组合物可以使用旋涂法涂覆。
根据一实施例,形成有机层的方法可以包含约100℃到约500℃下的热处理。
根据一实施例,所述方法可以进一步包含在形成光刻胶层之前形成底部抗反射涂层(bottom antireflective coating,BARC)。
本发明可以提供同时确保抗蚀刻性和平面化特征的有机层。
附图说明
图1为显示用于评估平面化特征的计算方程式2。
具体实施方式
下文将详细地描述本发明的例示性实施例,并且于相关领域中具通常知识者可以容易地执行所述例示性实施例。然而,本发明可以用多种不同形式实施,并且不应解释为受限于本文所阐述的例示性实施例。
如本文所用,当未另外提供定义时,术语‘经取代的’可以指被由以下各项中选出的取代基取代而代替化合物的氢原子的一类:卤素原子(F、Br、Cl或I)、羟基、烷氧基、硝基、氰基、氨基、叠氮基、脒基、肼基、亚肼基、羰基、氨甲酰基、硫醇基、酯基、羧基或其盐、磺酸基或其盐、磷酸或其盐、C1到C20烷基、C2到C20烯基、C2到C20炔基、C6到C30芳基、C7到C30芳烷基、C1到C30烷氧基、C1到C20杂烷基、C3到C20杂芳基烷基、C3到C30环烷基、C3到C15环烯基、C6到C15环炔基、C3到C30杂环烷基以及其组合。
如本文所用,当未另外提供定义时,术语“杂”是指包含1到3个由B、N、O、S和P中选出的杂原子的一类。
如本文所用,当未另外提供定义时,“*”表示化合物或化合物部分的键联点。
此外,衍生自A化合物的“单价基团”是指在A化合物中取代一个氢的单价基团。举例来说,衍生自苯族群的单价基团变成苯基。此外,衍生自A化合物的“二价基团”是指在A化合物中取代两个氢以形成两个键联点的二价基团。举例来说,衍生自苯族群的二价基团变成亚苯基。
下文描述根据一个实施例的聚合物。
根据一个实施例的聚合物包含由以下化学式1表示的部分。
[化学式1]
在化学式1中,
A1和A2独立地是衍生自以下族群1所列化合物中的一个的二价基团,
A3是以下族群2所列基团中的一个,以及
m是0或1。
[族群1]
在族群1中,
R1、R2和R3独立地是氢(-H)、羟基(-OH)、氧(O)、甲氧基(-OCH3)、乙氧基(-OC2H5)、卤素(-F、-Cl、-Br、-I)、经取代或未经取代的C6到C30芳基或其组合,
Z1到Z6独立地是羟基(-OH)、甲氧基(-OCH3)、乙氧基(-OC2H5)、卤素(-F、-Cl、-Br、-I)、经取代或未经取代的C6到C30芳基、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C4烷基醚基、经取代或未经取代的C7到C20芳基亚烷基醚基、经取代或未经取代的C1到C30卤烷基或其组合,以及
a、b、c、d、e以及f独立地是0到2的整数。
[族群2]
在族群2中,
X1和X2独立地是经取代或未经取代的C6到C50亚芳基、经取代或未经取代的C1到C10含环氧烷的基团或其组合,
Y1和Y2独立地是经取代或未经取代的C6到C30芳基,
Z7到Z10独立地是羟基(-OH)、甲氧基(-OCH3)、乙氧基(-OC2H5)、卤素(-F、-Cl、-Br、-I)、经取代或未经取代的C6到C30芳基、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C4烷基醚基、经取代或未经取代的C7到C20芳基亚烷基醚基、经取代或未经取代的C1到C30卤烷基或其组合,以及
g、h、i以及j独立地是0到2的整数。
聚合物具有使苯甲基氢(benzylic hydrogen)达到最少而环参数达到最大的结构,并且可以确保极佳的抗蚀刻性。
此外,聚合物在其单体结构中包含叔碳(tertiary carbon)与季碳(quaternarycarbon)中的至少任一个。在本说明书中,叔碳表示与将键结于所述碳的全部四个氢中的三个氢取代的三个其他基团键结的碳,而季碳表示与将全部四个氢取代的四个其他基团键结的碳。
当包含这些碳原子的聚合物被包含在有机层组合物内时,硬掩模层的溶解经改良,并且因此,可以容易地应用旋涂法。包含叔碳或季碳的化合物的部分与族群2中所示的相同。
聚合物可以包含至少一个由化学式1表示的部分,并且多个部分可以具有相同结构或彼此不同的结构。
举例来说,在族群2中,X1和X2可以是衍生自以下族群3所列化合物中的一个的二价基团。
[族群3]
族群3中提供的芳香族环基可以是经取代或未经取代的基团。
举例来说,族群2中X1与X2中的至少任一个的结构可以包含类似以下键联基团A的环氧烷基。
[键联基团A]
在键联基团A中,n和m独立地是1到10范围内的整数。
举例来说,在族群2中,Y1和Y2可以独立地是衍生自以下族群3所列化合物中的一个的单价基团。
另一方面,聚合物可以在由化学式1表示的部分中包含至少一个多环芳香族环基。因此,可以确保聚合物的光学特性并且同时可以进一步改良抗蚀刻性。
举例来说,在族群2中,X2、Y1和Y2中的至少一个可以是例如衍生自经取代或未经取代的苯、经取代或未经取代的萘、经取代或未经取代的联苯、经取代或未经取代的芘、经取代或未经取代的苝、经取代或未经取代的苯并苝、经取代或未经取代的六苯并苯或其组合的基团,但是不限于此。
聚合物的特性可以通过选择Z1到Z10的类别和数量来容易地调节,所述Z1到Z10表示在环基中经取代的官能基。
举例来说,表示在化学式1中键结到氮(N)原子的官能基的R1、R2和R3独立地是氢(-H)或经取代或未经取代的苯基,但是不限于此。
聚合物可以例如由以下化学式2-1到化学式2-10中的一个表示。
[化学式2-1]
[化学式2-2]
[化学式2-3]
[化学式2-4]
[化学式2-5]
[化学式2-6]
[化学式2-7]
[化学式2-8]
[化学式2-9]
[化学式2-10]
在化学式2-1到化学式2-10中,
R4和R5独立地是氢(-H)、羟基(-OH)、氧、卤素(-F、-Cl、-Br、-I)、经取代或未经取代的C6到C30芳基或其组合,
Z11到Z22独立地是羟基(-OH)、甲氧基(-OCH3)、乙氧基(-OC2H5)、卤素(-F、-Cl、-Br、-I)、经取代或未经取代的C6到C30芳基、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C4烷基醚基、经取代或未经取代的C7到C20芳基亚烷基醚基、经取代或未经取代的C1到C30卤烷基或其组合,
k、l、m、n、o、p、q、r、s、t、u以及v独立地是0到2的整数,以及
n0、n1以及n2是2到300的整数。
聚合物的重量平均分子量可以是约1,000到约200,000。当聚合物的重量平均分子量在所述范围内时,可以调节并且因此优化包含聚合物的有机层组合物(例如硬掩模组合物)的碳量和溶解度。
根据另一实施例,提供一种包含聚合物和溶剂的有机层组合物。
溶剂可以是足以溶解或分散聚合物的任一个,并且可以是例如由以下各项中选出的至少一个:丙二醇、丙二醇二乙酸酯、甲氧基丙二醇、二甘醇、二甘醇丁基醚、三(乙二醇)单甲基醚、丙二醇单甲基醚、丙二醇单甲基醚乙酸酯、环己酮、乳酸乙酯、γ-丁内酯、甲基吡咯烷酮以及乙酰丙酮。
以有机层组合物的总量计,聚合物可以以约0.1重量%到约30重量%的量被包含在内。当聚合物在所述范围内被包含时,可以控制有机层的厚度、表面粗糙度和平面化。
有机层组合物可以进一步包含表面活性剂、交联剂、热酸产生剂、塑化剂等添加剂。
表面活性剂可以包含例如烷基苯磺酸盐、烷基吡啶鎓盐、聚乙二醇或季铵盐,但是不限于此。
交联剂可以是例如其三聚氰胺类、经取代的脲类或聚合物类的试剂。优选地,具有至少两种交联形成性取代基的交联剂可以是例如以下化合物,如甲氧基甲基化甘脲、丁氧基甲基化甘脲、甲氧基甲基化三聚氰胺、丁氧基甲基化三聚氰胺、甲氧基甲基化苯并胍胺、丁氧基甲基化苯并胍胺、甲氧基甲基化脲、丁氧基甲基化脲、甲氧基甲基化硫脲等。
热酸产生剂可以是例如酸性化合物,如对甲苯磺酸、三氟甲磺酸、对甲苯磺酸吡啶鎓、水杨酸、磺基水杨酸、柠檬酸、苯甲酸、羟基苯甲酸、萘碳酸等或/和2,4,4,6-四溴环己二烯酮、甲苯磺酸安息香酯、甲苯磺酸2-硝基苯甲酯、其他有机磺酸烷基酯等,但是不限于此。
以有机层组合物的100重量份计,添加剂可以以约0.001重量份到40重量份的量被包含在内。当添加剂在所述范围内被包含时,可以在不改变有机层组合物的光学特性的情况下提高溶解度。
根据另一实施例,提供一种使用有机层组合物制造的有机层。有机层可以例如通过在衬底上涂布有机层组合物并且对其进行热处理以固化来形成,并且可以包含例如硬掩模层、平面化层、牺牲层、填充物等以用于电子装置。
下文描述一种通过使用有机层组合物来形成图案的方法。
根据另一实施例的形成图案的方法包含:在衬底上提供材料层,在所述材料层上涂覆包含聚合物和溶剂的有机层组合物,热处理所述有机层组合物以形成硬掩模层,在所述硬掩模层上形成含硅薄层,在所述含硅薄层上形成光刻胶层,使所述光刻胶层曝光并且显影以形成光刻胶图案,使用所述光刻胶图案选择性地移除所述含硅薄层和所述硬掩模层以使一部分所述材料层暴露,以及蚀刻所述材料层的暴露部分。
衬底可以是例如硅晶片、玻璃衬底或聚合物衬底。
材料层是待最终图案化的材料,例如金属层,如铝层和铜层;半导体层,如硅层;或绝缘层,如氧化硅层和氮化硅层。材料层可以通过如化学气相沉积(chemical vapordeposition,CVD)工艺的方法形成。
有机层组合物与上文所述的相同,并且可以以溶液形式通过旋涂法来涂覆。本文中,有机层组合物的厚度是不受特定限制的,但可以是例如约50埃到约10,000埃。
可以在例如约100℃到约500℃下对有机层组合物进行热处理持续约10秒到1小时。
含硅薄层可以由例如以下材料形成:如SiCN、SiOC、SiON、SiOCN、SiC和/或SiN等。
所述方法可进一步包含在形成光刻胶层之前形成底部抗反射涂层(BARC)。
光刻胶层的曝光可以使用例如ArF、KrF或EUV进行。曝光之后,可以在约100℃到约500℃下进行热处理。
可以使用蚀刻气体通过干式蚀刻工艺进行材料层的暴露部分的蚀刻工艺,并且蚀刻气体可以是例如(但不限于)CHF3、CF4、Cl2、BCl3以及其混合气体。
可以以多个图案形成经蚀刻的材料层,并且这多个图案可以是金属图案、半导体图案、绝缘图案等,例如半导体集成电路装置的不同图案。
下文参考实例更详细地说明本发明。然而,这些实例是例示性的,并且本发明不限于此。
合成实例
合成比较例1
将21.6克(0.057摩尔)9,9-双(4-甲氧苯基)-9H-茀和9.6克(0.057摩尔)1,4-双(甲氧基甲基)苯连续放入配备有温度计、冷凝器和机械搅拌器的500毫升烧瓶中,并且随后溶解于51克丙二醇单甲基醚乙酸酯(propylene glycol monomethyl ether acetate,PGMEA)中。随后,向其中添加0.15克(0.001摩尔)亚硫酸二乙酯,并且在90℃到120℃下搅拌混合物5小时到10小时。当每一小时从聚合反应的反应物采集的样本的重量平均分子量是1,800到2,300时,终止反应。
当聚合反应终止时,接着将反应物冷却到室温,并且随后添加到40克蒸馏水和400克甲醇中并剧烈搅拌混合物,并且使其静置。随后,将在从其中移除上清液之后获得的沉淀溶解于80克丙二醇单甲基醚乙酸酯(PGMEA)中,通过使用40克甲醇和40克水剧烈搅拌溶液,并且随后使其静置(第一工艺)。随后,将在从其中移除上清液之后获得的沉淀再次溶解于40克丙二醇单甲基醚乙酸酯(PGMEA)中(第二工艺)。第一工艺和第二工艺被视为一个纯化工艺,并且此纯化工艺总计进行三次。将经纯化的聚合物溶解于80克丙二醇单甲基醚乙酸酯(PGMEA)中,在减压下移除溶液中剩余的甲醇和蒸馏水,获得由以下化学式A表示的聚合物(重量平均分子量(weight average molecular weight,Mw)=2500)。
[化学式A]
合成比较例2
根据与合成比较例1相同的方法,通过使用23.2克(0.1摩尔)4-甲氧基芘、33.2克(0.2摩尔)1,4-双(甲氧基甲基)苯、15.8克(0.1摩尔)1-甲氧基萘、72.2克丙二醇单甲基醚乙酸酯(PGMEA)以及0.62克(4毫摩尔)硫酸二乙酯,获得由以下化学式B表示的聚合物(重量平均分子量(Mw)=2500)。
[化学式B]
合成比较例3
将30.0克(0.1摩尔)六苯并苯和34克(0.2摩尔)2-萘甲酰氯放入配备有机械搅拌器和冷却套管的500毫升二颈烧瓶中并且溶解于300克1,2-二氯乙烷中。十五分钟后,向其中缓慢添加15克(0.11摩尔)三氯铝,并且随后在室温下使反应溶液反应5小时。当反应终止时,用水处理所得物以移除三氯铝,并且用蒸发器浓缩残余物。紧接着,将160克四氢呋喃添加到所得化合物中,获得溶液。随后,将16克(0.42摩尔)硼氢化钠水溶液缓慢添加到溶液中,并且在室温下搅拌混合物12小时。当反应终止时,用7%氯化氢溶液将所得物酸化到最多pH 5,并用乙酸乙酯萃取,并且使有机溶剂减压,获得由以下化学式C表示的化合物。
[化学式C]
合成比较例4
将40.4克(0.2摩尔)芘和20克(0.1摩尔)对酞酰氯放入配备有机械搅拌器和冷却套管的500毫升二颈烧瓶中并且溶解于300克1,2-二氯乙烷中。15分钟之后,向其中缓慢添加15克(0.11摩尔)三氯铝,并且在室温下使所得反应溶液反应5小时。当反应终止时,使用水从其中移除三氯铝,并且用蒸发器浓缩残余物。随后,将160克四氢呋喃添加到所得化合物中,获得溶液。紧接着,将16克(0.42摩尔)硼氢化钠水溶液缓慢添加到溶液中,并且在室温下搅拌混合物12小时。当反应终止时,用7%氯化氢溶液将所得物酸化到最多pH 5,并用乙酸乙酯萃取,并且使其中的有机溶剂减压,获得由以下化学式D表示的化合物。
[化学式D]
合成比较例5
除了使用苝代替六苯并苯以及苯甲酰氯代替2-萘甲酰氯以外,根据与合成比较例3相同的方法获得由以下化学式E表示的化合物。
[化学式E]
合成实例1
将11.7克(0.1摩尔)1H-吲哚、18克(0.1摩尔)9-茀酮、9.5克(0.05摩尔)对甲苯磺酸水合物以及91克1,4-二恶烷放入烧瓶中并且在100℃下搅拌。当每一小时结束时从聚合反应的反应物采集的样本的重量平均分子量达到2000到3000时,终止反应。当反应终止时,向其中添加100克己烷以萃取1,4-二恶烷,过滤通过向其中添加甲醇而获得的沉淀,并且通过使用甲醇移除其中剩余的单体,获得由以下化学式1aa表示的聚合物(重量平均分子量(Mw)=2500)。
[化学式1aa]
合成实例2
除了使用19.3克(0.1摩尔)2-苯基-1H-吲哚、18克(0.1摩尔)9-茀酮、9.5克(0.05摩尔)对甲苯磺酸水合物以及91克1,4-二恶烷以外,根据与合成实例1相同的方法获得由以下化学式1bb表示的聚合物(重量平均分子量(Mw)=2300)。
[化学式1bb]
合成实例3
将1克(3.2毫摩尔)4,4'-二溴联苯溶解于20毫升四氢呋喃中,并且在-78℃下向其中以逐滴方式缓慢添加3毫升2.5M n-BuLi(于己烷中)。30分钟之后,向其中以逐滴方式缓慢添加溶解于THF中的1.2克(6.4毫摩尔)9-茀酮,并且在室温下搅拌混合物15小时。通过使用1N盐酸将所得反应溶液设定成pH=7并用EtOAc萃取,并且移除其中的溶剂。通过柱色谱法分离其中的产品,获得化合物S1(合成化学式S1)。
接着,根据与合成实例1相同的方法,通过使用5.9克(50毫摩尔)1H-吲哚、25.7克(50毫摩尔)化合物S1、4.7克(25毫摩尔)对甲苯磺酸水合物以及85克1,4-二恶烷,获得由化学式1cc表示的聚合物(重量平均分子量(Mw)=2900)。
[化学式1cc]
合成实例4
根据与合成实例1相同的方法,通过使用8.0克(30毫摩尔)9H-二苯并[a,c]咔唑、15.4克(30毫摩尔)化合物S1、5.7克(30毫摩尔)对甲苯磺酸水合物以及117克1,4-二恶烷,获得由化学式1dd表示的聚合物(重量平均分子量(Mw)=2800)。
[化学式1dd]
合成实例5
将6.08克(0.01摩尔)由化学式C表示的化合物、1.93克(0.01摩尔)N-苯基吲哚、1.9克(0.01摩尔)对甲苯磺酸水合物以及25克1,4-二恶烷放入烧瓶中并且在100℃下搅拌。当每一小时从聚合反应的反应物采集的样本的重量平均分子量是2500到3500时,终止反应。当反应终止时,向其中添加100克己烷以萃取1,4-二恶烷,向其中添加水和甲醇,过滤其中的沉淀,并且通过使用甲醇移除其中剩余的单体,获得由以下化学式1ee表示的聚合物(重量平均分子量(MW)=3300)。
[化学式1ee]
合成实例6
除了使用由化学式D表示的化合物代替由化学式C表示的化合物以及5,7-二氢-吲哚[2,3-b]咔唑代替N-苯基吲哚以外,根据合成实例5获得由以下化学式1ff表示的聚合物(重量平均分子量(MW)=3100)。
[化学式1ff]
合成实例7
除了使用由化学式E表示的化合物代替由化学式C表示的化合物以及2,3'-二吲哚代替N-苯基吲哚以外,根据与合成实例5相同的方法获得由以下化学式1gg表示的聚合物(重量平均分子量(MW)=3200)。
[化学式1gg]
合成实例8
除了使用16.7克(0.1摩尔)咔唑、18克(0.1摩尔)9-茀酮、19克(0.1摩尔)对甲苯磺酸单水合物以及46克1,4-二恶烷以外,根据与合成实例1相同的方法获得由以下化学式1hh表示的聚合物(重量平均分子量(Mw)=2300)。
[化学式1hh]
合成实例9
除了使用16.7克(0.1摩尔)咔唑、53克(0.1摩尔)由化学式D表示的化合物、19克(0.1摩尔)对甲苯磺酸单水合物以及55克1,4-二恶烷以外,根据与合成实例5相同的方法获得由以下化学式1ii表示的聚合物(重量平均分子量(Mw)=2100)。
[化学式1ii]
合成实例10
除了使用8.3克(0.05摩尔)咔唑、9.7克(0.05摩尔)2-苯基-1H-吲哚、18克(0.1摩尔)9-茀酮、19克(0.1摩尔)对甲苯磺酸单水合物以及46克1,4-二恶烷以外,根据与合成实例5相同的方法获得由以下化学式1jj表示的聚合物(重量平均分子量(Mw)=2300)。
[化学式1jj]
制备硬掩模组合物
实例1
将合成实例1的化合物溶解于丙二醇单甲基醚乙酸酯(PGMEA)与环己酮(7:3(体积/体积))的混合溶剂中,并且过滤溶液,制备硬掩模组合物。以取决于所要厚度的硬掩模组合物总重量计,将化合物的量调节在3重量%到15重量%的范围内。
实例2
除了使用合成实例2的化合物代替合成实例1的化合物以外,根据与实例1相同的方法制备硬掩模组合物。
实例3
除了使用合成实例3的化合物代替合成实例1的化合物以外,根据与实例1相同的方法制备硬掩模组合物。
实例4
除了使用合成实例4的化合物代替合成实例1的化合物以外,根据与实例1相同的方法制备硬掩模组合物。
实例5
除了使用合成实例5的化合物代替合成实例1的化合物以外,根据与实例1相同的方法制备硬掩模组合物。
实例6
除了使用合成实例6的化合物代替合成实例1的化合物以外,根据与实例1相同的方法制备硬掩模组合物。
实例7
除了使用合成实例7的化合物代替合成实例1的化合物以外,根据与实例1相同的方法制备硬掩模组合物。
实例8
除了使用合成实例8的化合物代替合成实例1的化合物以外,根据与实例1相同的方法制备硬掩模组合物。
实例9
除了使用合成实例9的化合物代替合成实例1的化合物以外,根据与实例1相同的方法制备硬掩模组合物。
实例10
除了使用合成实例10的化合物代替合成实例1的化合物以外,根据与实例1相同的方法制备硬掩模组合物。
比较例1
除了使用合成比较例1的化合物代替合成实例1的化合物以外,根据与实例1相同的方法制备硬掩模组合物。
比较例2
除了使用合成比较例2的化合物代替合成实例1的化合物以外,根据与实例1相同的方法制备硬掩模组合物。
比较例3
除了使用合成比较例3的化合物代替合成实例1的化合物以外,根据与实例1相同的方法制备硬掩模组合物。
比较例4
除了使用合成比较例4的化合物代替合成实例1的化合物以外,根据与实例1相同的方法制备硬掩模组合物。
比较例5
除了使用合成比较例5的化合物代替合成实例1的化合物以外,根据与实例1相同的方法制备硬掩模组合物。
评估
评估1:抗蚀刻性
在硅晶片上将实例1到实例10以及比较例1到比较例5的每种硬掩模组合物(化合物含量:12重量%到15重量%)旋涂到4,000埃厚,并且于400℃下在热板上热处理2分钟,形成薄膜。
接着,测量薄膜的厚度。随后,在分别用CHF3/CF4混合气体以及N2/O2混合气体干式蚀刻薄膜100秒以及60秒之后,再次测量薄膜的厚度。使用干式蚀刻之前与之后薄膜的厚度以及其蚀刻时间,根据以下计算方程式1,计算块体蚀刻速率(bulk etch rate,BER)。
[计算方程式1]
(初始薄膜厚度-蚀刻后的薄膜厚度)/蚀刻时间(埃/秒)
结果提供于表1中。
[表1]
参考表1,分别由根据实例1到实例10的硬掩模组合物形成的薄膜对蚀刻气体具有足够的抗蚀刻性,并且与分别由根据比较例1到比较例5的硬掩模组合物形成的薄膜相比,显示改良的块体蚀刻特征。
评估2:平面化特征和间隙填充特征
在经图案化的硅晶片上将根据实例1到实例10以及比较例1到比较例5的每种硬掩模组合物旋涂到2000埃厚,并且在400℃下烘烤120秒,形成薄膜。
通过用场发射扫描电子显微镜(field emission scanning electronmicroscope,FE-SEM)检查图案的截面上是否存在空隙,评估间隙填充特征。通过用由K-MAC制造的薄膜厚度计测量图案周围的薄膜的厚度来评估平面化特征,并且随后根据图1中所示的计算方程式2计算平面化特征。本文中,h1与h2之间的较大差值表示较佳的平面化。
结果提供于表2中。
[表2]
平面化特征 间隙填充特征
比较例1 大于或等于30% 无空隙
比较例2 大于或等于30% 无空隙
比较例3 大于或等于50% 有空隙
比较例4 大于或等于20% 无空隙
比较例5 大于或等于10% 有空隙
实例1 小于或等于8% 无空隙
实例2 小于或等于8% 无空隙
实例3 小于或等于8% 无空隙
实例4 小于或等于8% 无空隙
实例5 小于或等于8% 无空隙
实例6 小于或等于8% 无空隙
实例7 小于或等于8% 无空隙
实例8 小于或等于8% 无空隙
实例9 小于或等于8% 无空隙
实例10 小于或等于8% 无空隙
参考表2,与分别由根据比较例1到比较例5的硬掩模组合物形成的薄膜相比,分别由根据实例1到实例10的硬掩模组合物形成的薄膜显示改良的间隙填充和平面化特征。
虽然已经结合目前视为实用例示性实施例的内容来描述本发明,但应理解本发明不限于所揭示的实施例,而是相反,本发明意欲涵盖包含在所附权利要求的精神和范围内的各种修改和等效布置。

Claims (10)

1.一种聚合物,由以下化学式2-1到化学式2-7中的一个表示:
[化学式2-1]
[化学式2-2]
[化学式2-3]
[化学式2-4]
[化学式2-5]
[化学式2-6]
[化学式2-7]
其中,在所述化学式2-1到化学式2-7中,
R4以及R5独立地是氢、羟基、卤素、经取代或未经取代的C6到C30芳基或其组合,
Z11到Z22独立地是羟基、甲氧基、乙氧基、卤素、经取代或未经取代的C6到C30芳基、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C4烷基醚基、经取代或未经取代的C7到C20芳基亚烷基醚基、经取代或未经取代的C1到C30卤烷基或其组合,
k、l、m、n、o、p、q、r、s、t、u以及v独立地是0到2的整数,以及
n0是2到300的整数。
2.根据权利要求1所述的聚合物,其重量平均分子量是1,000到200,000。
3.一种有机层组合物,包括:
如权利要求1或2所述的聚合物,以及
溶剂。
4.根据权利要求3所述的有机层组合物,其中以所述有机层组合物的总量计,所述聚合物以0.1重量%到30重量%的量被包含在内。
5.一种有机层,通过固化如权利要求3所述的有机层组合物而形成。
6.根据权利要求5所述的有机层,其中所述有机层包括硬掩模层。
7.一种形成图案的方法,包括:
在衬底上提供材料层;
在所述材料层上涂覆如权利要求3所述的有机层组合物,
热处理所述有机层组合物以形成硬掩模层,
在所述硬掩模层上形成含硅薄层,
在所述含硅薄层上形成光刻胶层,
使所述光刻胶层曝光并且显影以形成光刻胶图案,
使用所述光刻胶图案选择性地移除所述含硅薄层以及所述硬掩模层以使一部分所述材料层暴露,以及
蚀刻所述材料层的暴露部分。
8.根据权利要求7所述的形成图案的方法,其中使用旋涂法涂覆所述有机层组合物。
9.根据权利要求7所述的形成图案的方法,其中形成所述有机层的方法包括100℃到500℃下的热处理。
10.根据权利要求7所述的形成图案的方法,其进一步包括在形成所述光刻胶层之前形成底部抗反射涂层。
CN201510409508.1A 2014-09-30 2015-07-13 聚合物、有机层组合物、有机层以及形成图案的方法 Active CN106188504B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020140131589A KR101788091B1 (ko) 2014-09-30 2014-09-30 중합체, 유기막 조성물, 유기막, 및 패턴형성방법
KR10-2014-0131589 2014-09-30

Publications (2)

Publication Number Publication Date
CN106188504A CN106188504A (zh) 2016-12-07
CN106188504B true CN106188504B (zh) 2019-11-19

Family

ID=55583740

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510409508.1A Active CN106188504B (zh) 2014-09-30 2015-07-13 聚合物、有机层组合物、有机层以及形成图案的方法

Country Status (4)

Country Link
US (1) US10323124B2 (zh)
KR (1) KR101788091B1 (zh)
CN (1) CN106188504B (zh)
TW (1) TWI553038B (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101821734B1 (ko) * 2015-02-17 2018-01-24 삼성에스디아이 주식회사 중합체, 유기막 조성물, 유기막, 및 패턴형성방법
US9873815B2 (en) 2015-04-30 2018-01-23 Samsung Sdi Co., Ltd. Polymer, organic layer composition, and method of forming patterns
KR101926023B1 (ko) 2015-10-23 2018-12-06 삼성에스디아이 주식회사 막 구조물 제조 방법 및 패턴형성방법
WO2017094780A1 (ja) 2015-12-01 2017-06-08 日産化学工業株式会社 インドロカルバゾールノボラック樹脂を含むレジスト下層膜形成組成物
KR101962419B1 (ko) * 2016-01-20 2019-03-26 삼성에스디아이 주식회사 중합체, 유기막 조성물, 및 패턴형성방법
KR102037818B1 (ko) * 2016-11-10 2019-10-29 삼성에스디아이 주식회사 중합체, 유기막 조성물 및 패턴형성방법
KR102389247B1 (ko) * 2017-06-27 2022-04-20 동우 화인켐 주식회사 하드마스크용 조성물
KR102171074B1 (ko) * 2017-12-26 2020-10-28 삼성에스디아이 주식회사 중합체, 유기막 조성물 및 패턴 형성 방법
KR102539875B1 (ko) * 2018-08-20 2023-06-05 동우 화인켐 주식회사 하드마스크용 조성물
KR102303554B1 (ko) * 2018-12-26 2021-09-16 삼성에스디아이 주식회사 중합체, 하드마스크 조성물 및 패턴 형성 방법
KR102325837B1 (ko) * 2018-12-28 2021-11-11 삼성에스디아이 주식회사 중합체, 하드마스크 조성물 및 패턴 형성 방법
KR102109919B1 (ko) * 2019-01-18 2020-05-12 주식회사 오라스 반사방지용 하드마스크 조성물
KR102230039B1 (ko) * 2019-03-22 2021-03-19 (주)코이즈 반사방지용 하드마스크 조성물
KR102336257B1 (ko) * 2019-07-19 2021-12-06 최상준 바이카바졸 유도체를 함유하는 반사방지용 하드마스크 조성물
KR102270378B1 (ko) * 2019-07-24 2021-06-29 주식회사 켐폴 인돌 유도체를 함유하는 반사방지용 하드마스크 조성물
JP7217695B2 (ja) * 2019-11-28 2023-02-03 信越化学工業株式会社 有機膜形成用材料、パターン形成方法、及び重合体
CN116554444B (zh) * 2023-06-15 2024-03-29 嘉庚创新实验室 用于光刻介质组合物的聚合物以及光刻介质组合物

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102803324A (zh) * 2009-06-19 2012-11-28 日产化学工业株式会社 咔唑酚醛清漆树脂

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4720988B2 (ja) 2005-07-11 2011-07-13 日産化学工業株式会社 フルオレン構造を有する化合物を含むリソグラフィー用下層膜形成組成物
KR101156488B1 (ko) 2008-12-22 2012-06-18 제일모직주식회사 하드마스크 층 형성용 조성물 및 이를 사용한 패턴화된 재료 형상의 제조방법
KR101311942B1 (ko) 2009-12-31 2013-09-26 제일모직주식회사 레지스트 하층막용 방향족 고리 함유 화합물 및 이를 포함하는 레지스트 하층막용 조성물
JP5556773B2 (ja) * 2010-09-10 2014-07-23 信越化学工業株式会社 ナフタレン誘導体及びその製造方法、レジスト下層膜材料、レジスト下層膜形成方法及びパターン形成方法
EP2650729A4 (en) 2010-12-09 2014-07-16 Nissan Chemical Ind Ltd COMPOSITION FOR FORMING LACQUER COATING WITH A CARBAZOLE NOVOLAC HYDROXYL GROUP-CONTAINING RESIN
KR101344792B1 (ko) 2010-12-17 2013-12-24 제일모직주식회사 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
KR101423171B1 (ko) * 2010-12-30 2014-07-25 제일모직 주식회사 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
CN103261238B (zh) 2011-01-13 2015-08-26 丸善石油化学株式会社 光压印用树脂组合物、图案形成方法及蚀刻掩模
JP5598489B2 (ja) 2011-03-28 2014-10-01 信越化学工業株式会社 ビフェニル誘導体、レジスト下層膜材料、レジスト下層膜形成方法及びパターン形成方法
KR102006277B1 (ko) * 2011-08-04 2019-08-01 닛산 가가쿠 가부시키가이샤 축합계 폴리머를 가지는 euv 리소그래피용 레지스트 하층막 형성조성물
US8968990B2 (en) * 2011-09-15 2015-03-03 Tokyo Ohka Kogyo Co., Ltd. Method of forming resist pattern
US8993215B2 (en) * 2012-03-27 2015-03-31 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition containing phenylindole-containing novolac resin
US8999624B2 (en) * 2012-06-29 2015-04-07 International Business Machines Corporation Developable bottom antireflective coating composition and pattern forming method using thereof
JP6004172B2 (ja) 2012-07-31 2016-10-05 日産化学工業株式会社 カルボニル基含有カルバゾールノボラックを含むリソグラフィー用レジスト下層膜形成組成物
JP5857014B2 (ja) * 2012-09-27 2016-02-10 富士フイルム株式会社 光インプリント用硬化性組成物、パターン形成方法およびパターン
KR101693612B1 (ko) 2012-12-26 2017-01-06 제일모직주식회사 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
JP2014174329A (ja) * 2013-03-08 2014-09-22 Nissan Chem Ind Ltd リソグラフィー用レジスト上層膜形成組成物及びそれを用いた半導体装置の製造方法
JP6311702B2 (ja) * 2013-03-25 2018-04-18 Jsr株式会社 多層レジストプロセス用無機膜形成組成物及びパターン形成方法
US10017664B2 (en) * 2013-05-13 2018-07-10 Nissan Chemical Industries, Ltd. Novolac resin-containing resist underlayer film-forming composition using bisphenol aldehyde
KR101788090B1 (ko) * 2014-11-28 2017-11-15 삼성에스디아이 주식회사 중합체, 유기막 조성물, 유기막, 및 패턴형성방법
KR101821734B1 (ko) * 2015-02-17 2018-01-24 삼성에스디아이 주식회사 중합체, 유기막 조성물, 유기막, 및 패턴형성방법
KR101798935B1 (ko) * 2015-04-10 2017-11-17 삼성에스디아이 주식회사 유기막 조성물, 유기막, 및 패턴형성방법
US9971243B2 (en) * 2015-06-10 2018-05-15 Samsung Sdi Co., Ltd. Polymer, organic layer composition, organic layer, and method of forming patterns

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102803324A (zh) * 2009-06-19 2012-11-28 日产化学工业株式会社 咔唑酚醛清漆树脂

Also Published As

Publication number Publication date
KR101788091B1 (ko) 2017-11-15
TW201612214A (en) 2016-04-01
US20160090449A1 (en) 2016-03-31
TWI553038B (zh) 2016-10-11
CN106188504A (zh) 2016-12-07
KR20160038462A (ko) 2016-04-07
US10323124B2 (en) 2019-06-18

Similar Documents

Publication Publication Date Title
CN106188504B (zh) 聚合物、有机层组合物、有机层以及形成图案的方法
CN105885018B (zh) 聚合物、有机层组成物、有机层以及形成图案的方法
KR101413069B1 (ko) 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
JP6084986B2 (ja) ハードマスク組成物用モノマー、前記モノマーを含むハードマスク組成物および前記ハードマスク組成物を用いたパターン形成方法
CN104718497B (zh) 硬罩幕组成物及使用硬罩幕组成物的图案形成方法
TWI567131B (zh) 硬罩幕組成物和使用所述硬罩幕組成物形成圖案的方法
KR101821735B1 (ko) 유기막 조성물, 유기막, 및 패턴형성방법
CN104749886B (zh) 硬掩模组合物、形成图案的方法以及集成电路装置
TWI554836B (zh) 硬罩幕組成物和使用所述硬罩幕組成物形成圖案的方法
KR101556275B1 (ko) 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR101962419B1 (ko) 중합체, 유기막 조성물, 및 패턴형성방법
TWI597321B (zh) 有機層組成物以及形成圖案的方法
KR101413071B1 (ko) 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
CN108431691A (zh) 有机膜组合物以及形成图案的方法
CN106243326B (zh) 聚合物、有机层组成物、有机层以及形成图案的方法
KR20160123950A (ko) 유기막 조성물, 유기막, 및 패턴형성방법
KR101848345B1 (ko) 중합체, 유기막 조성물, 및 패턴형성방법
WO2017126780A1 (ko) 유기막 조성물, 및 패턴형성방법
TWI679496B (zh) 硬罩幕組成物與形成圖案的方法
KR101937319B1 (ko) 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR20150002931A (ko) 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
CN105026389A (zh) 单体、包含所述单体的硬遮罩组成物及使用所述硬遮罩组成物形成图案的方法
KR20140065190A (ko) 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
WO2018088658A1 (ko) 중합체, 유기막 조성물 및 패턴형성방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant