KR101788090B1 - 중합체, 유기막 조성물, 유기막, 및 패턴형성방법 - Google Patents

중합체, 유기막 조성물, 유기막, 및 패턴형성방법 Download PDF

Info

Publication number
KR101788090B1
KR101788090B1 KR1020140169131A KR20140169131A KR101788090B1 KR 101788090 B1 KR101788090 B1 KR 101788090B1 KR 1020140169131 A KR1020140169131 A KR 1020140169131A KR 20140169131 A KR20140169131 A KR 20140169131A KR 101788090 B1 KR101788090 B1 KR 101788090B1
Authority
KR
South Korea
Prior art keywords
group
substituted
unsubstituted
formula
layer
Prior art date
Application number
KR1020140169131A
Other languages
English (en)
Other versions
KR20160064879A (ko
Inventor
문수현
권효영
김승현
남궁란
도미니아 라뜨웰
정현일
허유미
Original Assignee
삼성에스디아이 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성에스디아이 주식회사 filed Critical 삼성에스디아이 주식회사
Priority to KR1020140169131A priority Critical patent/KR101788090B1/ko
Priority to US14/936,023 priority patent/US9593205B2/en
Priority to TW104137788A priority patent/TWI589619B/zh
Priority to CN201510794412.1A priority patent/CN105646850B/zh
Publication of KR20160064879A publication Critical patent/KR20160064879A/ko
Application granted granted Critical
Publication of KR101788090B1 publication Critical patent/KR101788090B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/0666Polycondensates containing five-membered rings, condensed with other rings, with nitrogen atoms as the only ring hetero atoms
    • C08G73/0672Polycondensates containing five-membered rings, condensed with other rings, with nitrogen atoms as the only ring hetero atoms with only one nitrogen atom in the ring
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G61/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G61/12Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G61/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G61/12Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule
    • C08G61/122Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule derived from five- or six-membered heterocyclic compounds, other than imides
    • C08G61/123Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule derived from five- or six-membered heterocyclic compounds, other than imides derived from five-membered heterocyclic compounds
    • C08G61/124Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule derived from five- or six-membered heterocyclic compounds, other than imides derived from five-membered heterocyclic compounds with a five-membered ring containing one nitrogen atom in the ring
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L65/00Compositions of macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain; Compositions of derivatives of such polymers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/10Definition of the polymer structure
    • C08G2261/14Side-groups
    • C08G2261/142Side-chains containing oxygen
    • C08G2261/1422Side-chains containing oxygen containing OH groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/10Definition of the polymer structure
    • C08G2261/14Side-groups
    • C08G2261/142Side-chains containing oxygen
    • C08G2261/1424Side-chains containing oxygen containing ether groups, including alkoxy
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/10Definition of the polymer structure
    • C08G2261/14Side-groups
    • C08G2261/142Side-chains containing oxygen
    • C08G2261/1428Side-chains containing oxygen containing acyl groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/10Definition of the polymer structure
    • C08G2261/14Side-groups
    • C08G2261/148Side-chains having aromatic units
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/30Monomer units or repeat units incorporating structural elements in the main chain
    • C08G2261/31Monomer units or repeat units incorporating structural elements in the main chain incorporating aromatic structural elements in the main chain
    • C08G2261/314Condensed aromatic systems, e.g. perylene, anthracene or pyrene
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/30Monomer units or repeat units incorporating structural elements in the main chain
    • C08G2261/32Monomer units or repeat units incorporating structural elements in the main chain incorporating heteroaromatic structural elements in the main chain
    • C08G2261/324Monomer units or repeat units incorporating structural elements in the main chain incorporating heteroaromatic structural elements in the main chain condensed
    • C08G2261/3241Monomer units or repeat units incorporating structural elements in the main chain incorporating heteroaromatic structural elements in the main chain condensed containing one or more nitrogen atoms as the only heteroatom, e.g. carbazole
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/30Monomer units or repeat units incorporating structural elements in the main chain
    • C08G2261/34Monomer units or repeat units incorporating structural elements in the main chain incorporating partially-aromatic structural elements in the main chain
    • C08G2261/342Monomer units or repeat units incorporating structural elements in the main chain incorporating partially-aromatic structural elements in the main chain containing only carbon atoms
    • C08G2261/3424Monomer units or repeat units incorporating structural elements in the main chain incorporating partially-aromatic structural elements in the main chain containing only carbon atoms non-conjugated, e.g. paracyclophanes or xylenes

Abstract

화학식 1로 표현되는 부분을 포함하는 중합체, 상기 중합체를 포함하는 유기막 조성물, 상기 유기막 조성물로부터 제조된 유기막, 및 상기 유기막 조성물을 사용하는 패턴형성방법에 관한 것이다.
상기 화학식 1의 정의는 명세서 내에 기재한 바와 같다.

Description

중합체, 유기막 조성물, 유기막, 및 패턴형성방법{POLYMER, ORGANIC LAYER COMPOSITION, ORGANIC LAYER, AND METHOD OF FORMING PATTERNS}
중합체, 상기 중합체를 포함하는 유기막 조성물, 상기 유기막 조성물로부터 제조된 유기막, 그리고 상기 유기막 조성물을 사용하는 패턴형성방법에 관한 것이다.
최근 반도체 산업은 수백 나노미터 크기의 패턴에서 수 내지 수십 나노미터 크기의 패턴을 가지는 초미세 기술로 발전하고 있다. 이러한 초미세 기술을 실현하기 위해서는 효과적인 리쏘그래픽 기법이 필수적이다.
전형적인 리쏘그래픽 기법은 반도체 기판 위에 재료층을 형성하고 그 위에 포토레지스트 층을 코팅하고 노광 및 현상을 하여 포토레지스트 패턴을 형성한 후, 상기 포토레지스트 패턴을 마스크로 하여 재료층을 식각하는 과정을 포함한다.
근래, 형성하고자 하는 패턴의 크기가 감소함에 따라 상술한 전형적인 리쏘그래픽 기법만으로는 양호한 프로파일을 가진 미세 패턴을 형성하기 어렵다. 이에 따라 식각하고자 하는 재료층과 포토레지스트 층 사이에 일명 하드마스크 층(hardmask layer)이라고 불리는 유기막을 형성하여 미세 패턴을 형성할 수 있다.
하드마스크 층은 선택적 식각 과정을 통하여 포토레지스트의 미세 패턴을 재료 층으로 전사해주는 중간막으로서 역할을 한다.  따라서 하드마스크 층은 다중 식각 과정 동안 견딜 수 있도록 내열성 및 내식각성의 특성이 필요하다.
한편, 근래 하드마스크 층은 화학기상증착 방법 대신 스핀-온 코팅(spin-on coating) 방법으로 형성하는 것이 제안되었다.  스핀-온 코팅 방법은 공정이 용이할 뿐만 아니라 갭-필(gap-fill) 특성 및 평탄화 특성을 개선할 수 있다. 그러나 스핀-온 코팅 방법은 용매에 대한 용해성이 전제되어야 한다.
상술한 하드마스크 층에 요구되는 특성들을 만족할 수 있는 유기막 재료가 요구된다.
일 구현예는 용매에 대한 용해성, 내식각성 및 저장안정성을 동시에 확보할 수 있는 중합체를 제공한다.
다른 구현예는 상기 중합체를 포함하는 유기막 조성물을 제공한다.
또 다른 구현예는 내식각성 및 평탄화 특성을 동시에 확보할 수 있는 유기막을 제공한다.
또 다른 구현예는 상기 유기막 조성물을 사용한 패턴 형성 방법을 제공한다.
일 구현예에 따르면, 하기 화학식 1로 표현되는 부분을 포함하는 중합체를 제공한다.
[화학식 1]
Figure 112014116155277-pat00001
상기 화학식 1에서,
A1 및 A2는 각각 독립적으로 질소원자 함유 방향족 고리기로부터 유도된 2가의 기이고,
A3 및 A4는 각각 독립적으로 하기 화학식 2로 표현되는 2가의 기이고,
n은 0 또는 1이다.
단, 상기 질소원자는 치환 또는 비치환된 C1 내지 C30 알킬기, 적어도 하나의 산소원자(-O-)에 의해 차단된 치환 또는 비치환된 C1 내지 C30 알킬기, 카르보닐(-C(=O)-) 함유기, 또는 이들의 조합과 결합되어 있다.
[화학식 2]
Figure 112014116155277-pat00002
상기 화학식 2에서,
X1 및 X2는 각각 독립적으로 치환 또는 비치환된 C6 내지 C30 아릴기이고,
X3은 치환 또는 비치환된 C6 내지 C50 아릴렌기이다.
상기 치환 또는 비치환된 질소원자 함유 방향족 고리기는 하기 그룹 1에 나열된 화합물들 중 어느 하나일 수 있다.
[그룹 1]
Figure 112014116155277-pat00003
상기 그룹 1에서,
R1, R2 R3은 각각 독립적으로 치환 또는 비치환된 C1 내지 C30 알킬기, 적어도 하나의 산소원자(-O-)에 의해 차단된 치환 또는 비치환된 C1 내지 C30 알킬기, 카르보닐(-C(=O)-) 함유기, 또는 이들의 조합이고,
Z1 내지 Z6은 각각 독립적으로 히드록시기 (-OH), 메톡시 (-OCH3), 에톡시 (-OC2H5), 할로겐기(-F, -Cl, -Br, -I), 치환 또는 비치환된 C6 내지 C30 아릴기, 치환 또는 비치환된 C3 내지 C30 사이클로알케닐기, 치환 또는 비치환된 C1 내지 C20 알킬아민기, 치환 또는 비치환된 C7 내지 C20 아릴알킬기, 치환 또는 비치환된 C1 내지 C20 헤테로알킬기, 치환 또는 비치환된 C2 내지 C30 헤테로사이클로알킬기, 치환 또는 비치환된 C2 내지 C30 헤테로아릴기, 치환 또는 비치환된 C1 내지 C4 알킬 에테르기, 치환 또는 비치환된 C7 내지 C20 아릴알킬렌 에테르기, 치환 또는 비치환된 C1 내지 C30 할로알킬기 또는 이들의 조합이고,
a, b, c, d, e 및 f는 각각 독립적으로 0 내지 2인 정수이다.
상기 R1, R2 R3은 적어도 3 개의 탄소를 함유하는 기일 수 있다.
상기 R1, R2 R3은 하기 화학식 3 내지 6 중 어느 하나로 표현되는 기일 수 있다.
[화학식 3]
Figure 112014116155277-pat00004
[화학식 4]
Figure 112014116155277-pat00005
[화학식 5]
Figure 112014116155277-pat00006
[화학식 6]
Figure 112014116155277-pat00007
상기 화학식 3 내지 6에서,
k, m 및 t는 각각 독립적으로 1 내지 10의 정수이다.
상기 화학식 3에서, k는 3 내지 10일 수 있다.
상기 화학식 6에서 -(CkH2k +1)은 t-부틸일 수 있다.
상기 화학식 2에서 X1 및 X2는 각각 독립적으로 하기 그룹 2에 나열된 화합물들 중 어느 하나로부터 유도된 1가의 기이고, X3은 하기 그룹 2에 나열된 화합물들 중 어느 하나로부터 유도된 2가의 기일 수 있다.
[그룹 2]
Figure 112014116155277-pat00008
상기 화학식 2에서, X1, X2 및 X3 중 적어도 하나는 치환 또는 비치환된 나프탈렌, 치환 또는 비치환된 바이페닐, 치환 또는 비치환된 피렌, 치환 또는 비치환된 퍼릴렌, 치환 또는 비치환된 벤조퍼릴렌, 치환 또는 비치환된 코로넨, 또는 이들의 조합으로부터 유도된 기일 수 있다.
상기 중합체는 하기 화학식 1-1 내지 1-4 중 어느 하나로 표현되는 반복단위 구조를 가질 수 있다.
[화학식 1-1]
Figure 112014116155277-pat00009
[화학식 1-2]
Figure 112014116155277-pat00010
[화학식 1-3]
Figure 112014116155277-pat00011
[화학식 1-4]
Figure 112014116155277-pat00012
상기 화학식 1-1 내지 1-4에서,
R4 및 R5는 각각 독립적으로 치환 또는 비치환된 C1 내지 C30 알킬기, 적어도 하나의 산소원자(-O-)에 의해 차단된 치환 또는 비치환된 C1 내지 C30 알킬기, 카르보닐(-C(=O)-) 함유기, 또는 이들의 조합이고,
Z11 내지 Z22는 각각 독립적으로 히드록시기 (-OH), 메톡시 (-OCH3), 에톡시 (-OC2H5), 할로겐기(-F, -Cl, -Br, -I), 치환 또는 비치환된 C6 내지 C30 아릴기, 치환 또는 비치환된 C3 내지 C30 사이클로알케닐기, 치환 또는 비치환된 C1 내지 C20 알킬아민기, 치환 또는 비치환된 C7 내지 C20 아릴알킬기, 치환 또는 비치환된 C1 내지 C20 헤테로알킬기, 치환 또는 비치환된 C2 내지 C30 헤테로사이클로알킬기, 치환 또는 비치환된 C2 내지 C30 헤테로아릴기, 치환 또는 비치환된 C1 내지 C4 알킬 에테르기, 치환 또는 비치환된 C7 내지 C20 아릴알킬렌 에테르기, 치환 또는 비치환된 C1 내지 C30 할로알킬기 또는 이들의 조합이고,
n11 내지 n22는 각각 독립적으로 0 내지 2인 정수이고,
n0 는 2 내지 300 인 정수이다.
상기 중합체는 중량평균분자량이 1,000 내지 200,000 일 수 있다.
다른 구현예에 따르면, 상술한 중합체, 그리고 용매를 포함하는 유기막 조성물을 제공한다.
상기 중합체는 상기 유기막 조성물의 총 함량에 대하여 0.1 중량% 내지 30 중량%로 포함될 수 있다.
또 다른 구현예에 따르면, 상술한 유기막 조성물이 경화되어 형성되는 유기막을 제공한다.
또 다른 구현예에 따르면, 기판 위에 재료 층을 제공하는 단계, 상기 재료 층 위에 상기 유기막 조성물을 적용하는 단계, 상기 유기막 조성물을 열처리하여 하드마스크 층을 형성하는 단계, 상기 하드마스크 층 위에 실리콘 함유 박막층을 형성하는 단계, 상기 실리콘 함유 박막층 위에 포토레지스트 층을 형성하는 단계, 상기 포토레지스트 층을 노광 및 현상하여 포토레지스트 패턴을 형성하는 단계, 상기 포토레지스트 패턴을 이용하여 상기 실리콘 함유 박막층 및 상기 하드마스크 층을 선택적으로 제거하고 상기 재료 층의 일부를 노출하는 단계, 그리고 상기 재료 층의 노출된 부분을 식각하는 단계를 포함하는 패턴 형성 방법을 제공한다.
상기 유기막 조성물을 적용하는 단계는 스핀-온 코팅 방법으로 수행할 수 있다.
상기 유기막 층을 형성하는 단계는 약 100℃ 내지 500℃에서 열처리할 수 있다.
상기 포토레지스트 층을 형성하는 단계 전에 바닥 반사 방지 층(BARC)을 형성하는 단계를 더 포함할 수 있다.
상기 실리콘 함유 박막층은 SiCN, SiOC, SiON, SiOCN, SiC, SiN, 또는 이들의 조합을 포함할 수 있다.
용해성, 내식각성 및 저장안정성이 우수한 유기막 재료를 제공할 수 있다.
이하, 본 발명의 구현예에 대하여 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 용이하게 실시할 수 있도록 상세히 설명한다. 그러나 본 발명은 여러 가지 상이한 형태로 구현될 수 있으며 여기에서 설명하는 구현예에 한정되지 않는다.
본 명세서에서 별도의 정의가 없는 한, '치환된'이란, 화합물 중의 수소 원자가 할로겐 원자(F, Br, Cl, 또는 I), 히드록시기, 알콕시기, 니트로기, 시아노기, 아미노기, 아지도기, 아미디노기, 히드라지노기, 히드라조노기, 카르보닐기, 카르바밀기, 티올기, 에스테르기, 카르복실기나 그의 염, 술폰산기나 그의 염, 인산이나 그의 염, C1 내지 C20 알킬기, C2 내지 C20 알케닐기, C2 내지 C20 알키닐기, C6 내지 C30 아릴기, C7 내지 C30 아릴알킬기, C1 내지 C30 알콕시기, C1 내지 C20 헤테로알킬기, C3 내지 C20 헤테로아릴알킬기, C3 내지 C30 사이클로알킬기, C3 내지 C15의 사이클로알케닐기, C6 내지 C15 사이클로알키닐기, C3 내지 C30 헤테로사이클로알킬기 및 이들의 조합에서 선택된 치환기로 치환된 것을 의미한다.
또한, 본 명세서에서 별도의 정의가 없는 한, '헤테로'란, N, O, S 및 P에서 선택된 헤테로 원자를 1 내지 3개 함유한 것을 의미한다.
또한, 본 명세서에서 별도의 정의가 없는 한, '*'는 화합물 또는 화합물 부분(moiety)의 연결 지점을 가리킨다.
또한, A 화합물로부터 '유도된 1가의 기'란 A 화합물 내의 1개의 수소가 치환되어 형성된 1가의 기를 의미한다. 예컨대 벤젠기로부터 유도된 1가의 기는 페닐기가 된다. 또한, A 화합물로부터 '유도된 2가의 기'란 A 화합물 내의 2개의 수소가 치환되어 2개의 연결지점이 형성된 2가의 기를 의미한다. 예컨대 벤젠기로부터 유도된 2가의 기는 페닐렌기가 된다.
이하 일 구현예에 따른 중합체를 설명한다.
일 구현예에 따른 중합체는 하기 화학식 1로 표현되는 부분을 포함한다.
[화학식 1]
Figure 112014116155277-pat00013
상기 화학식 1에서,
A1 및 A2는 각각 독립적으로 질소원자 함유 방향족 고리기로부터 유도된 2가의 기이고,
A3 및 A4는 각각 독립적으로 하기 화학식 2로 표현되는 2가의 기이고,
n은 0 또는 1이다.
단, 상기 질소원자는 치환 또는 비치환된 C1 내지 C30 알킬기, 적어도 하나의 산소원자(-O-)에 의해 차단된 치환 또는 비치환된 C1 내지 C30 알킬기, 카르보닐(-C(=O)-) 함유기, 또는 이들의 조합과 결합되어 있다.
[화학식 2]
Figure 112014116155277-pat00014
상기 화학식 2에서,
X1 및 X2는 각각 독립적으로 치환 또는 비치환된 C6 내지 C30 아릴기이고,
X3은 치환 또는 비치환된 C6 내지 C50 아릴렌기이다.
상기 중합체는 상기 화학식 1로 표현되는 부분을 복수 개 포함할 수 있으며, 상기 복수 개의 부분들은 서로 같은 구조를 가져도 되고 서로 다른 구조를 가져도 된다.
상기 화학식 1은 A1 및 A2로 표현되는 질소원자 함유 방향족 고리기 부분, 그리고 A3 및 A4로 표현되는 질소 원자 비함유 방향족 고리기 부분을 포함하는 구조이다.
먼저, 상기 질소원자 함유 방향족 고리기 부분에 관하여 설명한다.
상기 중합체는 질소원자를 함유하는 방향족 고리기로부터 유도된 2가의 기를 포함하며, 상기 질소 원자는 수소 원자를 제외한 소정의 치환기와의 결합 구조를 형성한다.
예를 들어, 상기 치환 또는 비치환된 질소원자 함유 방향족 고리기는 하기 그룹 1에 나열된 화합물들 중 어느 하나일 수 있다.
[그룹 1]
Figure 112014116155277-pat00015
상기 그룹 1에서,
R1, R2 R3은 각각 독립적으로 치환 또는 비치환된 C1 내지 C30 알킬기, 적어도 하나의 산소원자(-O-)에 의해 차단된 치환 또는 비치환된 C1 내지 C30 알킬기, 카르보닐(-C(=O)-) 함유기, 또는 이들의 조합이고,
Z1 내지 Z6은 각각 독립적으로 히드록시기 (-OH), 메톡시 (-OCH3), 에톡시 (-OC2H5), 할로겐기(-F, -Cl, -Br, -I), 치환 또는 비치환된 C6 내지 C30 아릴기, 치환 또는 비치환된 C3 내지 C30 사이클로알케닐기, 치환 또는 비치환된 C1 내지 C20 알킬아민기, 치환 또는 비치환된 C7 내지 C20 아릴알킬기, 치환 또는 비치환된 C1 내지 C20 헤테로알킬기, 치환 또는 비치환된 C2 내지 C30 헤테로사이클로알킬기, 치환 또는 비치환된 C2 내지 C30 헤테로아릴기, 치환 또는 비치환된 C1 내지 C4 알킬 에테르기, 치환 또는 비치환된 C7 내지 C20 아릴알킬렌 에테르기, 치환 또는 비치환된 C1 내지 C30 할로알킬기 또는 이들의 조합이고,
a, b, c, d, e 및 f는 각각 독립적으로 0 내지 2인 정수이다.
예를 들어, 상기 그룹 1에서 R1, R2 R3은 하기 화학식 3 내지 6 중 어느 하나로 표현되는 기일 수 있으나 이에 한정되는 것은 아니다.
[화학식 3]
Figure 112014116155277-pat00016
[화학식 4]
Figure 112014116155277-pat00017
[화학식 5]
Figure 112014116155277-pat00018
[화학식 6]
Figure 112014116155277-pat00019
상기 화학식 3 내지 6에서,
k, m 및 t는 각각 독립적으로 1 내지 10의 정수이다.
이와 같이 상기 중합체는 질소원자를 함유하는 방향족 고리기로부터 유도된 2가의 기를 포함함으로써 고리기의 수소(benzylic hydrogen)가 최소화되고 링 파리미터(ring parameter)가 극대화된 구조를 가지게 되므로, 우수한 내에칭성을 확보할 수 있다.
또한, 상기 방향족 고리기에 함유된 질소원자가 수소원자가 아닌 1가의 유기기로 치환됨으로써 내에칭성을 유지하면서도 용해도를 확보할 수 있다.
예를 들어, 상기 R1, R2 R3은 적어도 3 개의 탄소를 함유하는 기일 수 있다. 상기 화학식 3에서 k는 예컨대 3 내지 10인 정수일 수 있다.
예를 들어, 상기 화학식 6에서, -(CkH2k +1)은 t-부틸일 수 있다.
이하, 상기 화학식 1에서 A3 및 A4로 표현되는 질소 원자 비함유 방향족 고리기 부분에 관하여 설명한다.
상술한 바와 같이 상기 화학식 1에서 A3 및 A4은 하기 화학식 2로 표현된다.
[화학식 2]
Figure 112014116155277-pat00020
상기 화학식 2에서, X1 및 X2는 각각 독립적으로 치환 또는 비치환된 C6 내지 C30 아릴기이며, 예컨대 하기 그룹 2에 나열된 화합물들 중 어느 하나로부터 유도된 1가의 기일 수 있다. 상기 화학식 2에서, X3은 치환 또는 비치환된 C6 내지 C50 아릴렌기이며, 예컨대 하기 그룹 2에 나열된 화합물들 중 어느 하나로부터 유도된 2가의 기일 수 있다.
[그룹 2]
Figure 112014116155277-pat00021
상기 화학식 2로 표현되는 질소 원자 비함유 방향족 고리기 부분은 다환 방향족 고리기를 포함할 수 있다. 상기 화학식 2에서, X1, X2 및 X3 중 적어도 하나는 예컨대 치환 또는 비치환된 나프탈렌, 치환 또는 비치환된 바이페닐, 치환 또는 비치환된 피렌, 치환 또는 비치환된 퍼릴렌, 치환 또는 비치환된 벤조퍼릴렌, 치환 또는 비치환된 코로넨, 또는 이들의 조합으로부터 유도된 기일 수 있으나 이에 한정되는 것은 아니다.
예를 들어, 상기 중합체는 하기 화학식 1-1 내지 1-4 중 어느 하나로 표현되는 반복단위 구조를 가질 수 있다.
[화학식 1-1]
Figure 112014116155277-pat00022
[화학식 1-2]
Figure 112014116155277-pat00023
[화학식 1-3]
Figure 112014116155277-pat00024
[화학식 1-4]
Figure 112014116155277-pat00025
상기 화학식 1-1 내지 1-4에서,
R4 및 R5는 각각 독립적으로 치환 또는 비치환된 C1 내지 C30 알킬기, 적어도 하나의 산소원자(-O-)에 의해 차단된 치환 또는 비치환된 C1 내지 C30 알킬기, 카르보닐(-C(=O)-) 함유기, 또는 이들의 조합이고,
Z11 내지 Z22는 각각 독립적으로 히드록시기 (-OH), 메톡시 (-OCH3), 에톡시 (-OC2H5), 할로겐기(-F, -Cl, -Br, -I), 치환 또는 비치환된 C6 내지 C30 아릴기, 치환 또는 비치환된 C3 내지 C30 사이클로알케닐기, 치환 또는 비치환된 C1 내지 C20 알킬아민기, 치환 또는 비치환된 C7 내지 C20 아릴알킬기, 치환 또는 비치환된 C1 내지 C20 헤테로알킬기, 치환 또는 비치환된 C2 내지 C30 헤테로사이클로알킬기, 치환 또는 비치환된 C2 내지 C30 헤테로아릴기, 치환 또는 비치환된 C1 내지 C4 알킬 에테르기, 치환 또는 비치환된 C7 내지 C20 아릴알킬렌 에테르기, 치환 또는 비치환된 C1 내지 C30 할로알킬기 또는 이들의 조합이고,
n11 내지 n22는 각각 독립적으로 0 내지 2인 정수이고,
n0 는 2 내지 300 인 정수이다.
상기 중합체는 약 1,000 내지 200,000의 중량평균분자량을 가질 수 있다. 상기 범위의 중량평균분자량을 가짐으로써 상기 중합체를 포함하는 유기막 조성물 (예컨대, 하드마스크 조성물)의 탄소 함량 및 용매에 대한 용해도를 조절하여 최적화할 수 있다.
다른 구현예에 따르면, 상술한 중합체, 그리고 용매를 포함하는 유기막 조성물을 제공한다.
상기 용매는 상기 중합체에 대한 충분한 용해성 또는 분산성을 가지는 것이면 특별히 한정되지 않으나, 예컨대 프로필렌글리콜, 프로필렌글리콜 디아세테이트, 메톡시 프로판디올, 디에틸렌글리콜, 디에틸렌글리콜 부틸에테르, 트리(에틸렌글리콜)모노메틸에테르, 프로필렌글리콜 모노메틸에테르, 프로필렌글리콜 모노메틸에테르 아세테이트, 사이클로헥사논, 에틸락테이트, 감마-부티로락톤, N,N-디메틸포름아미드, N,N-디메틸아세트아미드, 메틸피롤리돈, 메틸피롤리디논, 아세틸아세톤 및 에틸 3-에톡시프로피오네이트에서 선택되는 적어도 하나를 포함할 수 있다.
상기 중합체는 상기 유기막 조성물의 총 함량에 대하여 약 0.1 내지 30 중량%로 포함될 수 있다. 상기 범위로 화합물이 포함됨으로써 유기막의 두께, 표면 거칠기 및 평탄화 정도를 조절할 수 있다.
상기 유기막 조성물은 추가적으로 계면활성제, 가교제, 열산 발생제, 가소제 등의 첨가제를 더 포함할 수 있다.
상기 계면활성제는 예컨대 알킬벤젠설폰산 염, 알킬피리디늄 염, 폴리에틸렌글리콜, 제4 암모늄 염 등을 사용할 수 있으나 이에 한정되는 것은 아니다.
상기 가교제는 예컨대 멜라민계, 치환요소계, 또는 이들 폴리머계 등을 들 수 있다. 바람직하게는, 적어도 2개의 가교형성 치환기를 갖는 가교제로, 예를 들면, 메톡시메틸화 글리코루릴, 부톡시메틸화 글리코루릴, 메톡시메틸화 멜라민, 부톡시메틸화 멜라민, 메톡시메틸화 벤조구아나민, 부톡시메틸화 벤조구아나민, 메톡시메틸화요소, 부톡시메틸화요소, 메톡시메틸화 티오요소, 또는 메톡시메틸화 티오요소 등의 화합물을 사용할 수 있다.
상기 열산발생제는 예컨대 p-톨루엔술폰산, 트리플루오로메탄술폰산, 피리디늄p-톨루엔술폰산, 살리실산, 술포살리실산, 구연산, 안식향산, 하이드록시안식향산, 나프탈렌카르본산 등의 산성 화합물 또는/및 2,4,4,6-테트라브로모시클로헥사디에논, 벤조인토실레이트, 2-니트로벤질토실레이트, 그 밖에 유기술폰산알킬에스테르 등을 사용할 수 있으나 이에 한정되는 것은 아니다.
상기 첨가제는 상기 유기막 조성물 100 중량부에 대하여 약 0.001 내지 40 중량부로 포함될 수 있다.  상기 범위로 포함함으로써 유기막 조성물의 광학적 특성을 변경시키지 않으면서 용해도를 향상시킬 수 있다.
또 다른 구현예에 따르면, 상술한 유기막 조성물을 사용하여 제조된 유기막을 제공한다. 상기 유기막은 상술한 유기막 조성물을 예컨대 기판 위에 코팅한 후 열처리 과정을 통해 경화된 형태일 수 있으며, 예컨대 하드마스크 층, 평탄화 막, 희생막, 충진제, 등 전자 디바이스에 사용되는 유기 박막을 포함할 수 있다.
이하 상술한 유기막 조성물을 사용하여 패턴을 형성하는 방법에 대하여 설명한다.
일 구현예에 따른 패턴 형성 방법은 기판 위에 재료 층을 제공하는 단계, 상기 재료 층 위에 상술한 화합물 및 용매를 포함하는 유기막 조성물을 적용하는 단계, 상기 유기막 조성물을 열처리하여 하드마스크 층을 형성하는 단계, 상기 하드마스크 층 위에 실리콘 함유 박막층을 형성하는 단계, 상기 실리콘 함유 박막층 위에 포토레지스트 층을 형성하는 단계, 상기 포토레지스트 층을 노광 및 현상하여 포토레지스트 패턴을 형성하는 단계, 상기 포토레지스트 패턴을 이용하여 상기 실리콘 함유 박막층 및 상기 하드마스크 층을 선택적으로 제거하고 상기 재료 층의 일부를 노출하는 단계, 그리고 상기 재료 층의 노출된 부분을 식각하는 단계를 포함한다.
상기 기판은 예컨대 실리콘웨이퍼, 유리 기판 또는 고분자 기판일 수 있다.
상기 재료 층은 최종적으로 패턴하고자 하는 재료이며, 예컨대 알루미늄, 구리 등과 같은 금속층, 실리콘과 같은 반도체 층 또는 산화규소, 질화규소 등과 같은 절연층일 수 있다. 상기 재료 층은 예컨대 화학기상증착 방법으로 형성될 수 있다.
상기 유기막 조성물은 전술한 바와 같으며, 용액 형태로 제조되어 스핀-온 코팅 방법으로 도포될 수 있다.  이 때 상기 유기막 조성물의 도포 두께는 특별히 한정되지 않으나, 예컨대 약 50 내지 10,000Å 두께로 도포될 수 있다.
상기 유기막 조성물을 열처리하는 단계는 예컨대 약 100 내지 500℃에서 약 10초 내지 1시간 동안 수행할 수 있다.
상기 실리콘 함유 박막층은 예컨대 SiCN, SiOC, SiON, SiOCN, SiC 및/또는 SiN 등의 물질로 형성할 수 있다.
또한 상기 포토레지스트 층을 형성하는 단계 전에 상기 실리콘 함유 박막층 상부에 바닥 반사방지 층(bottom anti-reflective coating, BARC)을 더 형성할 수도 있다.
상기 포토레지스트 층을 노광하는 단계는 예컨대 ArF, KrF 또는 EUV 등을 사용하여 수행할 수 있다.  또한 노광 후 약 100 내지 500℃에서 열처리 공정을 수행할 수 있다.
상기 재료 층의 노출된 부분을 식각하는 단계는 식각 가스를 사용한 건식 식각으로 수행할 수 있으며, 식각 가스는 예컨대 CHF3, CF4, Cl2, BCl3 및 이들의 혼합 가스를 사용할 수 있다.
상기 식각된 재료 층은 복수의 패턴으로 형성될 수 있으며, 상기 복수의 패턴은 금속 패턴, 반도체 패턴, 절연 패턴 등 다양할 수 있으며, 예컨대 반도체 집적 회로 디바이스 내의 다양한 패턴으로 적용될 수 있다.
이하 실시예를 통하여 상술한 본 발명의 구현예를 보다 상세하게 설명한다. 다만 하기의 실시예는 단지 설명의 목적을 위한 것이며 본 발명의 범위를 제한하는 것은 아니다.
합성예
비교합성예 1
기계교반기 및 냉각관을 구비한 500ml의 2구 플라스크에 파이렌 20g(0.1몰)과 나프토일클로라이드 38g(0.2몰)을 넣고 디클로로에탄(1,2-Dichloroethane) 219g에 녹였다. 15분 후에 트라이클로로 알루미늄 30g(0.22몰)을 천천히 투입한 다음, 반응 용액을 상온에서 5시간 동안 반응시켰다. 반응 종료 후 물을 사용하여 트라이클로로 알루미늄을 제거한 후에 증발기로 농축하였다. 얻어진 화합물에 테트라하이드로퓨란 80g을 첨가하여 용액을 얻었다. 상기 용액에 수소화붕소나트륨 10g(0.26몰) 수용액을 천천히 첨가하여 12시간 동안 상온에서 교반하였다. 반응이 완결되면 7% 염화수소 용액으로 pH 5 이하까지 산성화시킨 후 에틸아세테이트로 추출하고 유기 용매를 감압하여 모노머를 얻었다.
플라스크에 상기에서 얻어진 모노머 6.08g (0.01몰), 카바졸 1.15g (0.01몰), p-톨루엔 설폰산 수화물(p-Toluenesulfonic acid monohydrate) 1.9g (0.01몰), 1,4-다이옥산 25g을 첨가한 후 100℃ 교반하였다. 1시간 간격으로 상기 중합반응물로부터 시료를 취하여, 그 시료의 중량평균 분자량이 2500 내지 4500일 때 반응을 완료하였다. 반응이 완결되면 핵산 100g을 넣어 1,4-다이옥산을 추출해 낸 후 물과 메탄올을 첨가하여 침전을 여과하고 남아있는 단량체를 메탄올을 이용하여 제거하여 화학식 X로 표현되는 반복단위를 가지는 중합체(중량평균분자량= 약 4300)를 얻었다.
[화학식 X]
Figure 112014116155277-pat00026

비교합성예 2
기계교반기와 냉각관을 구비한 250ml의 2구 플라스크에 벤조퍼릴렌 27.64g(0.1몰), 4-메톡시벤조일클로라이드 17.06g (0.1몰) 및 나프토일클로라이드 19g(0.1몰)을 넣고 178.12g의 디클로로에탄(1,2-Dichloroethane)에 용해시켰다. 15분 후에 트라이클로로 알루미늄 15g(0.11몰)을 천천히 투입한 다음, 반응 용액을 상온에서 5시간 동안 반응 시켰다. 반응 종료 후 물을 사용하여 트라이클로로 알루미늄을 제거한 후에 증발기로 농축하였다. 얻어진 화합물에 1-도데칸싸이올 30.4g(0.15몰), 포타슘하이드록사이드 11.23g (0.2몰) 및 1-메틸-2-피롤리디논 (NMP) 229g을 넣고 85℃에서 교반시켰다. 3시간 뒤 반응 종료한 후 1 노르말농도의 염산수용액과 에틸아세테이트를 넣은 후 에틸아세테이트 층만을 분리하여 염기 및 촉매를 제거하였다. 에틸아세테이트 층을 감압하여 농축한 후 테트라하이드로퓨란 80g을 첨가하여 용액을 얻었다. 상기 용액에 수소화 붕소 나트륨 10g(0.26몰) 수용액을 천천히 첨가하여 12시간 동안 상온 교반하였다. 반응이 완결되면 7% 염화수소 용액으로 pH5 이하까지 산성화시킨 후 에틸아세테이트로 추출하고 유기 용매를 감압하여 모노머를 얻었다.
플라스크에 상기에서 얻어진 모노머 6.08g (0.01몰), 9H-Dibenzo[a,c]carbazole 1.15g (0.01몰), p-톨루엔 설폰산 수화물 1.9g (0.01몰), 1,4-다이옥산 25g을 첨가한 후 100℃ 교반하였다. 1시간 간격으로 상기 중합반응물로부터 시료를 취하여, 그 시료의 중량평균 분자량이 2500 내지 3500 때 반응을 완료하였다. 반응이 완결되면 핵산 100g을 넣어 1,4-다이옥산을 추출해 낸 후 물과 메탄올을 첨가하여 침전을 여과하고 남아있는 단량체를 메탄올을 이용하여 제거하여 화학식 Y로 표현되는 반복단위를 가지는 중합체(중량평균분자량 = 약 2600)를 얻었다.
[화학식 Y]
Figure 112014116155277-pat00027

합성예 1
기계교반기 및 냉각관을 구비한 500mℓ의 2구 플라스크에 파이렌 40.4g(0.2몰) 및 테레프탈로일 클로라이드 20g(0.1)을 넣고 디클로로에탄(1,2-Dichloroethane) 300g에 녹였다. 15분 후에 트라이클로로 알루미늄 30g (0.22몰)을 천천히 투입한 다음, 반응 용액을 상온에서 5시간 동안 반응시켰다. 반응 종료 후 물을 사용하여 트라이클로로 알루미늄을 제거한 후에 증발기로 농축하였다. 얻어진 화합물에 테트라하이드로퓨란 160g을 첨가하여 용액을 얻었다. 상기 용액에 수소화 붕소 나트륨 16g (0.42 몰) 수용액을 천천히 첨가하여 12시간 동안 상온 교반 하였다. 반응이 완결되면 7% 염화수소 용액으로 pH 5 > 까지 산성화 시킨 후 에틸아세테이트로 추출하고 유기 용매를 감압하여 모노머를 얻었다.
플라스크에 상기에서 얻어진 모노머 6.08g (0.01몰), 5,7-디하이드로-인돌[2,3-b]카바졸 (5,7-Dihydro-indolo[2,3-b]carbazole) 1.93g (0.01몰), p-톨루엔 술폰산 수화물 1.9g (0.01몰) 및 1,4-다이옥산 25g을 첨가한 후 100℃ 교반하였다. 이에 따라 얻어진 중합 반응물로부터 1시간 간격으로 시료를 취하여, 그 시료의 중량평균 분자량이 2500 내지 3500 때 반응을 완료하였다. 반응이 완결되면 헥산 100g을 넣어 1,4-다이옥산을 추출해 내고 그 후 물과 메탄올을 첨가하여 침전을 여과하고 남아있는 단량체를 메탄올을 이용하여 제거하여, 중합체를 얻었다.
플라스크에 상기에서 얻어진 중합체 7.79g (0.01몰), 1-(2-chloroethoxy)-2-ethoxyethane 3.05g (0.02몰), 소듐하이드라이드 2.16g (0.09몰), 및 테트라하이드로퓨란 48.17g을 첨가한 후 상온에서 교반하였다. 3시간이 지난 후 반응이 완결되었다. 반응이 완결되면 포화상태의 암모늄클로라이드 수용액과 디클로로메탄으로 소듐하이드라이드를 제거해주고 디클로로메탄 층을 제거하였다.  감압하여 농축한 후 헥산에 첨가하여 침전을 잡고 여과하여 화학식 A로 표현되는 반복단위를 가지는 중합체(중량평균분자량 = 약 3300)를 얻었다.
[화학식 A]
Figure 112014116155277-pat00028

합성예 2
기계교반기 및 냉각관을 구비한 500mℓ의 2구 플라스크에 퍼릴렌 30.0g(0.1몰) 및 벤조일클로라이드 34g(0.2몰)을 넣고 디클로로에탄(1,2-Dichloroethane) 300g에 녹였다. 15분 후에 트라이클로로 알루미늄 30g(0.22몰) 을 천천히 투입한 다음, 반응 용액을 상온에서 5시간 동안 반응시켰다. 반응 종료 후 물을 사용하여 트라이클로로 알루미늄을 제거한 후에 증발기로 농축하였다. 얻어진 화합물에 테트라하이드로퓨란 160g을 첨가하여 용액을 얻었다. 상기 용액에 수소화 붕소 나트륨 16g (0.42 몰) 수용액을 천천히 첨가하여 12시간 동안 상온 교반 하였다. 반응이 완결되면 7% 염화수소 용액으로 pH 5 > 까지 산성화 시킨 후 에틸아세테이트로 추출하고 유기 용매를 감압하여 모노머를 얻었다.
플라스크에 상기에서 얻어진 모노머 6.08g (0.01몰), 2,3′-Biindole 1.93g (0.01몰), p-톨루엔 술폰산 수화물 1.9g (0.01몰) 및 1,4-다이옥산 25g을 첨가한 후 100℃ 교반하였다. 이에 따라 얻어진 중합 반응물로부터 1시간 간격으로 시료를 취하여, 그 시료의 중량평균 분자량이 2500 내지 3500 때 반응을 완료하였다. 반응이 완결되면 헥산 100g을 넣어 1,4-다이옥산을 추출해 내고 그 후 물과 메탄올을 첨가하여 침전을 여과하고 남아있는 단량체를 메탄올을 이용하여 제거하여 중합체를 얻었다.
플라스크에 상기에서 얻어진 중합체 6.81g (0.01몰), 1-클로로헥산 2.42g (0.02몰, 포타슘하이드라이드 2.16g (0.09몰) 및 디메틸포름아마이드 48.37g을 첨가한 후 상온에서 교반하였다. 2시간이 지난 후 반응을 완결시켰다. 반응이 완결되면 포화상태의 암모늄클로라이드 수용액과 디클로로메탄으로 포타슘하이드라이드를 제거해주고 디클로로메탄 층을 제거한 후  감압하여 농축한 후 헥산에 첨가하여 침전을 잡고 여과하여 화학식 B로 표현되는 반복단위를 가지는 중합체(중량평균분자량 = 약 3100)를 얻었다.
[화학식 B]
Figure 112014116155277-pat00029

합성예 3
플라스크에 상기 비교합성예 1에서 얻어진 중합체 6.63g (0.01몰), 디-터셔리-부틸디카보네이트(Di-tert-butyl dicarbonate, BOC) 3.27g (0.015몰), 4-디메틸아미노피리딘(4-Dimethylaminopyridine) 3.27g (0.003몰), 및 다이클로로메탄 37.09g을 첨가한 후 상온에서 교반하였다. 24시간이 지난 후 반응이 완결되었다. 반응이 완결되면 물을 첨가하고 다이클로로메탄 층만을 분리하여 DMAP을 제거하였다. 추출해준 다이클로로메탄 층에 황산나트륨을 투입한 후 필터하여 남아있는 물을 제거하였다. 그 후 감압하여 농축한 후 헥산에 첨가하여 침전을 잡고 여과하여 화학식 C로 표현되는 반복단위를 가지는 중합체(중량평균분자량 = 약 4200)를 얻었다.
[화학식 C]
Figure 112014116155277-pat00030

합성예 4
플라스크에 비교합성예 2에서 얻어진 중합체 8.04g (0.01몰), 헥사노일클로라이드 1.35g (0.01몰), 포타슘하이드록사이드 2.25g (0.04몰) 및 디메틸포름아마이드 42.58g을 첨가한 후 상온에서 교반하였다. 4시간이 지난 후 반응이 완결되었다. 반응이 완결되면 포화상태의 암모늄클로라이드 수용액과 디클로로메탄으로 포타슘하이드록사이드를 제거해주었다. 디클로로메탄 층만 취하여 감압 및 농축한 후 헥산에 첨가하였다. 이어서 상기 반응물에서 침전을 잡고 여과하여 화학식 D로 표현되는 반복단위를 가지는 중합체(중량평균분자량 = 약 2730)를 얻었다.
[화학식 D]
Figure 112014116155277-pat00031

중합체의 용해도 평가
용매 에틸락테이트 (Ethyl Lactate, EL) 20 g 에 비교합성예 1, 2, 및 합성예 1 내지 4에서 얻은 중합체를 25℃에서 각각 용해시켜 용해되는 화합물 질량을 확인하였다.
이어서, 상기 에틸락테이트 (Ethyl Lactate, EL) 대신에 프로필렌글리콜모노메틸에테르아세테이트 (Propylene glycol monomethyl ether acetate, PGMEA) 20 g 및 프로필렌글리콜모노메틸에테르(Propylene glycol monomethyl ether, PGME) 20 g 를 사용하여 상기 과정을 반복하였다.
25℃에서 각 용매 20 g에 용해되는 중합체 질량의 백분율 값에 의해 중합체의 용해도를 평가하였다.
용해도(%)=중합체 질량(g)/용매 질량(g)
그 결과를 표 1에 나타낸다.
EL (%) PGMEA (%) PGME (%)
비교합성예 1 25.8 12.5 24.9
비교합성예 2 15.4 0 8.7
합성예 1 50.7 23.8 55.7
합성예 2 37.1 38.7 47.1
합성예 3 54.5 42.5 55.3
합성예 4 44.6 19.4 31.2
표 1을 참고하면, 합성예 1 내지 4에 따른 중합체는 비교합성예 1 및 2에 따른 중합체와 비교하여 용매의 종류와 무관하게 우수한 용해 특성을 나타냄을 알 수 있다.
하드마스크 조성물의 제조
실시예 1
합성예 1에서 얻은 화합물을 프로필렌글리콜모노메틸에테르아세테이트(propylene glycol monomethyl ether acetate, PGMEA)와 사이클로헥사논(cyclohexanone)(7:3(v/v))의 혼합 용매에 녹인 후 여과하여 하드마스크 조성물을 제조하였다.
실시예 2
합성예 1에서 얻은 화합물 대신 합성예 2에서 얻은 화합물을 사용한 것을 제외하고는 실시예 1과 동일한 방법으로 하드마스크 조성물을 제조하였다.
실시예 3
합성예 1에서 얻은 화합물 대신 합성예 3에서 얻은 화합물을 사용한 것을 제외하고는 실시예 1과 동일한 방법으로 하드마스크 조성물을 제조하였다.
실시예 4
합성예 1에서 얻은 화합물 대신 합성예 4에서 얻은 화합물을 사용한 것을 제외하고는 실시예 1과 동일한 방법으로 하드마스크 조성물을 제조하였다.
비교예 1
합성예 1에서 얻은 화합물 대신 비교합성예 1에서 얻은 화합물을 사용한 것을 제외하고는 실시예 1과 동일한 방법으로 하드마스크 조성물을 제조하였다.
비교예 2
합성예 1에서 얻은 화합물 대신 비교합성예 2에서 얻은 화합물을 사용한 것을 제외하고는 실시예 1과 동일한 방법으로 하드마스크 조성물을 제조하였다.
내식각성 평가
실리콘 웨이퍼 위에 실시예 1 내지 4와 비교예 1에 따른 하드마스크 조성물(화합물 함유량: 12 내지 15중량%)을 4,000Å 두께로 스핀-온 코팅한 후 핫플레이트 위에서 400℃로 90초간 열처리하여 박막을 형성하였다.
이어서 상기 박막의 두께를 측정하였다. 이어서 상기 박막에 CHF3/CF4 혼합 가스 및 N2/O2 혼합 가스를 사용하여 각각 100초 및 60초 동안 건식 식각한 후 박막의 두께를 다시 측정하였다. 건식 식각 전후의 박막의 두께와 식각 시간으로부터 하기 계산식 1에 의해 식각율(bulk etch rate, BER)을 계산하였다.
[계산식 1]
(초기 박막 두께 - 식각 후 박막 두께)/식각 시간 (Å/s)
그 결과는 표 2와 같다.
CFx N2/O2
비교예 1 25.96 26.85
실시예 1 23.89 24.93
실시예 2 23.57 24.88
실시예 3 25.82 25.19
실시예 4 23.24 21.91
표 2를 참고하면, 실시예 1 내지 4에 따른 하드마스크 조성물로부터 형성된 박막은 비교예 1에 따른 하드마스크 조성물로부터 형성된 박막과 비교하여 식각 가스에 대한 충분한 내식각성이 있어서 벌크 에치 특성이 향상됨을 확인할 수 있다.
저장안정성 평가
실시예 1 내지 4와 비교예 2에 따른 하드마스크 조성물(화합물 함유량: 10 중량%)을 에틸락테이트 (Ethyl Lactate, EL)에 녹여 원자외선이 차단되고 온도가 23℃로 조절되는 클린룸에서 한 달 동안 보관한 후 겔투과크로마토그래피 (Gell Permeation Chromatography, GPC)를 이용하여 추세 곡선의 변화를 관찰하였다. 추세 곡선에 변화가 있으면 저장안정성 없음('X')으로, 추세곡선에 변화가 없으면 저장안정성 있음('O')으로 판정하였다.
그 결과를 표 3에 나타낸다.
저장안정성
비교예 2 X
실시예 1 O
실시예 2 O
실시예 3 O
실시예 4 O
표 3을 참고하면, 실시예 1 내지 4에 따른 하드마스크 조성물은 비교예 2에 따른 하드마스크 조성물과 비교하여 저장 안정성이 우수함을 알 수 있다.
이상에서 본 발명의 바람직한 실시예들에 대하여 상세하게 설명하였지만 본 발명의 권리 범위는 이에 한정되는 것은 아니고 다음의 청구 범위에서 정의하고 있는 본 발명의 기본 개념을 이용한 당업자의 여러 변형 및 개량 형태 또한 본 발명의 권리 범위에 속하는 것이다.

Claims (19)

  1. 하기 화학식 1로 표현되는 부분을 포함하는 중합체:
    [화학식 1]
    Figure 112017044002866-pat00032

    상기 화학식 1에서,
    A1 및 A2는 각각 독립적으로 질소원자 함유 방향족 고리기로부터 유도된 2가의 기이고, 여기서 상기 질소원자 함유 방향족 고리기는 하기 그룹 1에 나열된 화합물들 중 어느 하나이고,
    A3 및 A4는 각각 독립적으로 하기 화학식 2로 표현되는 2가의 기이고,
    n은 0 또는 1이다.
    [그룹 1]
    Figure 112017044002866-pat00044

    상기 그룹 1에서,
    R1, R2 R3은 각각 독립적으로 치환 또는 비치환된 C1 내지 C30 알킬기, 적어도 하나의 산소원자(-O-)에 의해 차단된 치환 또는 비치환된 C1 내지 C30 알킬기, 카르보닐(-C(=O)-) 함유기, 또는 이들의 조합으로서, 상기 R1, R2 R3은 적어도 3 개의 탄소를 함유하는 기이고,
    Z1 내지 Z6은 각각 독립적으로 히드록시기 (-OH), 메톡시 (-OCH3), 에톡시 (-OC2H5), 할로겐기(-F, -Cl, -Br, -I), 치환 또는 비치환된 C6 내지 C30 아릴기, 치환 또는 비치환된 C3 내지 C30 사이클로알케닐기, 치환 또는 비치환된 C1 내지 C20 알킬아민기, 치환 또는 비치환된 C7 내지 C20 아릴알킬기, 치환 또는 비치환된 C1 내지 C20 헤테로알킬기, 치환 또는 비치환된 C2 내지 C30 헤테로사이클로알킬기, 치환 또는 비치환된 C2 내지 C30 헤테로아릴기, 치환 또는 비치환된 C1 내지 C4 알킬 에테르기, 치환 또는 비치환된 C7 내지 C20 아릴알킬렌 에테르기, 치환 또는 비치환된 C1 내지 C30 할로알킬기 또는 이들의 조합이고,
    a, b, c, d, e 및 f는 각각 독립적으로 0 내지 2인 정수이다.
    [화학식 2]
    Figure 112017044002866-pat00045

    상기 화학식 2에서,
    X1 및 X2는 각각 독립적으로 치환 또는 비치환된 C6 내지 C30 아릴기이고,
    X3은 치환 또는 비치환된 C6 내지 C50 아릴렌기이다.
  2. 삭제
  3. 삭제
  4. 제1항에서,
    상기 R1, R2 R3은 하기 화학식 3 내지 6 중 어느 하나로 표현되는 기인 중합체:
    [화학식 3]
    Figure 112017044002866-pat00035

    [화학식 4]
    Figure 112017044002866-pat00036

    [화학식 5]
    Figure 112017044002866-pat00037

    [화학식 6]
    Figure 112017044002866-pat00038

    상기 화학식 3 내지 6에서,
    k, m 및 t는 각각 독립적으로 1 내지 10의 정수로서, 상기 R1, R2 R3가 적어도 3 개의 탄소를 함유하는 기임을 만족하도록 선택된다.
  5. 삭제
  6. 제4항에서,
    상기 화학식 6에서 -(CkH2k +1)은 t-부틸인 중합체.
  7. 제1항에서,
    상기 화학식 2에서 X1 및 X2는 각각 독립적으로 하기 그룹 2에 나열된 화합물들 중 어느 하나로부터 유도된 1가의 기이고, X3은 하기 그룹 2에 나열된 화합물들 중 어느 하나로부터 유도된 2가의 기인 중합체:
    [그룹 2]
    Figure 112014116155277-pat00039
  8. 제1항에서,
    상기 화학식 2에서, X1, X2 및 X3 중 적어도 하나는 치환 또는 비치환된 나프탈렌, 치환 또는 비치환된 바이페닐, 치환 또는 비치환된 피렌, 치환 또는 비치환된 퍼릴렌, 치환 또는 비치환된 벤조퍼릴렌, 치환 또는 비치환된 코로넨, 또는 이들의 조합으로부터 유도된 기인 중합체.
  9. 제1항에서,
    하기 화학식 1-1 내지 1-4 중 어느 하나로 표현되는 반복단위 구조를 가지는 중합체:
    [화학식 1-1]
    Figure 112017044002866-pat00040

    [화학식 1-2]
    Figure 112017044002866-pat00041

    [화학식 1-3]
    Figure 112017044002866-pat00042

    [화학식 1-4]
    Figure 112017044002866-pat00043

    상기 화학식 1-1 내지 1-4에서,
    R4 및 R5는 각각 독립적으로 치환 또는 비치환된 C1 내지 C30 알킬기, 적어도 하나의 산소원자(-O-)에 의해 차단된 치환 또는 비치환된 C1 내지 C30 알킬기, 카르보닐(-C(=O)-) 함유기, 또는 이들의 조합으로서, 적어도 3개의 탄소를 함유하며,
    Z11 내지 Z22는 각각 독립적으로 히드록시기 (-OH), 메톡시 (-OCH3), 에톡시 (-OC2H5), 할로겐기(-F, -Cl, -Br, -I), 치환 또는 비치환된 C6 내지 C30 아릴기, 치환 또는 비치환된 C3 내지 C30 사이클로알케닐기, 치환 또는 비치환된 C1 내지 C20 알킬아민기, 치환 또는 비치환된 C7 내지 C20 아릴알킬기, 치환 또는 비치환된 C1 내지 C20 헤테로알킬기, 치환 또는 비치환된 C2 내지 C30 헤테로사이클로알킬기, 치환 또는 비치환된 C2 내지 C30 헤테로아릴기, 치환 또는 비치환된 C1 내지 C4 알킬 에테르기, 치환 또는 비치환된 C7 내지 C20 아릴알킬렌 에테르기, 치환 또는 비치환된 C1 내지 C30 할로알킬기 또는 이들의 조합이고,
    n11 내지 n22는 각각 독립적으로 0 내지 2인 정수이고,
    n0 는 2 내지 300 인 정수이다.
  10. 제1항에서,
    중량평균분자량이 1,000 내지 200,000인 중합체.
  11. 제1항, 제4항, 제6항 내지 제10항 중 어느 한 항에 따른 중합체, 그리고
    용매
    를 포함하는 유기막 조성물.
  12. 제11항에서,
    상기 중합체는 상기 유기막 조성물의 총 함량에 대하여 0.1 중량% 내지 30 중량%로 포함되어 있는 유기막 조성물.
  13. 제11항에 따른 유기막 조성물이 경화되어 형성되는 유기막.
  14. 제13항에서,
    상기 유기막은 하드마스크 층을 포함하는 유기막.
  15. 기판 위에 재료 층을 제공하는 단계,
    상기 재료 층 위에 제11항에 따른 유기막 조성물을 적용하는 단계,
    상기 유기막 조성물을 열처리하여 하드마스크 층을 형성하는 단계,
    상기 하드마스크 층 위에 실리콘 함유 박막층을 형성하는 단계,
    상기 실리콘 함유 박막층 위에 포토레지스트 층을 형성하는 단계,
    상기 포토레지스트 층을 노광 및 현상하여 포토레지스트 패턴을 형성하는 단계
    상기 포토레지스트 패턴을 이용하여 상기 실리콘 함유 박막층 및 상기 하드마스크 층을 선택적으로 제거하고 상기 재료 층의 일부를 노출하는 단계, 그리고
    상기 재료 층의 노출된 부분을 식각하는 단계
    를 포함하는 패턴 형성 방법.
  16. 제15항에서,
    상기 유기막 조성물을 적용하는 단계는 스핀-온 코팅 방법으로 수행하는 패턴 형성 방법.
  17. 제15항에서,
    상기 유기막 조성물을 열처리하여 하드마스크 층을 형성하는 단계에서 상기 열처리는 100℃ 내지 500℃에서 수행되는 패턴 형성 방법.
  18. 제15항에서,
    상기 포토레지스트 층을 형성하는 단계 전에 바닥 반사 방지 층(BARC)을 형성하는 단계를 더 포함하는 패턴 형성 방법.
  19. 제15항에서,
    상기 실리콘 함유 박막층은 SiCN, SiOC, SiON, SiOCN, SiC, SiN, 또는 이들의 조합을 포함하는 패턴 형성 방법.
KR1020140169131A 2014-11-28 2014-11-28 중합체, 유기막 조성물, 유기막, 및 패턴형성방법 KR101788090B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020140169131A KR101788090B1 (ko) 2014-11-28 2014-11-28 중합체, 유기막 조성물, 유기막, 및 패턴형성방법
US14/936,023 US9593205B2 (en) 2014-11-28 2015-11-09 Polymer, organic layer composition, organic layer, and method of forming patterns
TW104137788A TWI589619B (zh) 2014-11-28 2015-11-17 聚合物、有機層組合物、有機層以及形成圖案的方法
CN201510794412.1A CN105646850B (zh) 2014-11-28 2015-11-18 聚合物、有机层组合物、有机层以及形成图案的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020140169131A KR101788090B1 (ko) 2014-11-28 2014-11-28 중합체, 유기막 조성물, 유기막, 및 패턴형성방법

Publications (2)

Publication Number Publication Date
KR20160064879A KR20160064879A (ko) 2016-06-08
KR101788090B1 true KR101788090B1 (ko) 2017-11-15

Family

ID=56078765

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140169131A KR101788090B1 (ko) 2014-11-28 2014-11-28 중합체, 유기막 조성물, 유기막, 및 패턴형성방법

Country Status (4)

Country Link
US (1) US9593205B2 (ko)
KR (1) KR101788090B1 (ko)
CN (1) CN105646850B (ko)
TW (1) TWI589619B (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101788091B1 (ko) * 2014-09-30 2017-11-15 삼성에스디아이 주식회사 중합체, 유기막 조성물, 유기막, 및 패턴형성방법
KR101821734B1 (ko) * 2015-02-17 2018-01-24 삼성에스디아이 주식회사 중합체, 유기막 조성물, 유기막, 및 패턴형성방법
KR102037818B1 (ko) * 2016-11-10 2019-10-29 삼성에스디아이 주식회사 중합체, 유기막 조성물 및 패턴형성방법
KR20190100862A (ko) * 2018-02-21 2019-08-29 동우 화인켐 주식회사 하드마스크용 조성물
WO2019164231A1 (ko) * 2018-02-21 2019-08-29 동우화인켐 주식회사 하드마스크용 조성물
KR102288386B1 (ko) * 2018-09-06 2021-08-10 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴 형성 방법
KR102397179B1 (ko) * 2018-12-21 2022-05-11 삼성에스디아이 주식회사 하드마스크 조성물, 하드마스크 층 및 패턴 형성 방법
KR102303554B1 (ko) * 2018-12-26 2021-09-16 삼성에스디아이 주식회사 중합체, 하드마스크 조성물 및 패턴 형성 방법
KR102252677B1 (ko) * 2020-09-21 2021-05-14 최상준 반사방지용 하드마스크 조성물

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4029288B2 (ja) 2003-05-21 2008-01-09 信越化学工業株式会社 レジスト材料及びパターン形成方法
KR101328972B1 (ko) * 2006-01-26 2013-11-13 삼성디스플레이 주식회사 전계발광 고분자 및 이를 이용한 유기 전계발광 소자
US7781154B2 (en) * 2006-03-28 2010-08-24 Applied Materials, Inc. Method of forming damascene structure
US7618889B2 (en) * 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
EP3182479B1 (en) * 2008-02-15 2018-10-03 Mitsubishi Chemical Corporation Conjugated polymer for organic electroluminescence element
US8722841B2 (en) 2009-06-19 2014-05-13 Nissan Chemical Industries, Ltd. Carbazole novolak resin
KR101311942B1 (ko) 2009-12-31 2013-09-26 제일모직주식회사 레지스트 하층막용 방향족 고리 함유 화합물 및 이를 포함하는 레지스트 하층막용 조성물
CN102754033A (zh) 2010-02-12 2012-10-24 三菱瓦斯化学株式会社 底层膜材料及多层抗蚀图案的形成方法
US9023588B2 (en) 2010-02-19 2015-05-05 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition containing silicon having nitrogen-containing ring
JP5439254B2 (ja) * 2010-03-31 2014-03-12 太陽ホールディングス株式会社 感光性樹脂組成物
KR101909222B1 (ko) * 2010-12-09 2018-10-17 닛산 가가쿠 가부시키가이샤 수산기 함유 카바졸 노볼락 수지를 포함하는 레지스트 하층막 형성 조성물
KR101344792B1 (ko) 2010-12-17 2013-12-24 제일모직주식회사 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
CN103261238B (zh) 2011-01-13 2015-08-26 丸善石油化学株式会社 光压印用树脂组合物、图案形成方法及蚀刻掩模
US9343324B2 (en) 2011-07-07 2016-05-17 Nissan Chemical Industries, Ltd. Resist underlayer film-forming composition which contains alicyclic skeleton-containing carbazole resin
KR101830784B1 (ko) * 2011-09-09 2018-02-22 삼성전자주식회사 폴리머 및 상기 폴리머를 포함한 유기 발광 소자
US8993215B2 (en) * 2012-03-27 2015-03-31 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition containing phenylindole-containing novolac resin
JP6127279B2 (ja) * 2012-09-07 2017-05-17 コニカミノルタ株式会社 太陽電池
KR101993472B1 (ko) 2012-09-12 2019-09-30 주식회사 동진쎄미켐 레지스트 패턴의 하부막 형성용 화합물, 조성물 및 이를 이용한 하부막의 형성방법
JP2014174329A (ja) * 2013-03-08 2014-09-22 Nissan Chem Ind Ltd リソグラフィー用レジスト上層膜形成組成物及びそれを用いた半導体装置の製造方法

Also Published As

Publication number Publication date
CN105646850A (zh) 2016-06-08
TW201619233A (zh) 2016-06-01
KR20160064879A (ko) 2016-06-08
TWI589619B (zh) 2017-07-01
CN105646850B (zh) 2018-12-28
US9593205B2 (en) 2017-03-14
US20160152771A1 (en) 2016-06-02

Similar Documents

Publication Publication Date Title
KR101788090B1 (ko) 중합체, 유기막 조성물, 유기막, 및 패턴형성방법
KR101821734B1 (ko) 중합체, 유기막 조성물, 유기막, 및 패턴형성방법
KR101788091B1 (ko) 중합체, 유기막 조성물, 유기막, 및 패턴형성방법
KR101566533B1 (ko) 하드마스크 조성물 및 이를 사용한 패턴형성방법
KR101962419B1 (ko) 중합체, 유기막 조성물, 및 패턴형성방법
KR101747229B1 (ko) 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR101829750B1 (ko) 중합체, 유기막 조성물, 및 패턴형성방법
KR20150079199A (ko) 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
KR101413071B1 (ko) 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR101684978B1 (ko) 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
KR101804257B1 (ko) 중합체, 유기막 조성물, 유기막, 및 패턴형성방법
KR101848345B1 (ko) 중합체, 유기막 조성물, 및 패턴형성방법
KR101774479B1 (ko) 중합체, 유기막 조성물, 및 패턴형성방법
KR101590809B1 (ko) 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR101988997B1 (ko) 유기막 조성물 및 패턴형성방법
KR101994367B1 (ko) 중합체, 하드마스크 조성물 및 패턴형성방법
KR20180013104A (ko) 유기막 조성물 및 패턴형성방법
KR101696197B1 (ko) 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
KR101976016B1 (ko) 중합체, 유기막 조성물 및 패턴형성방법
KR102171074B1 (ko) 중합체, 유기막 조성물 및 패턴 형성 방법
KR20180054300A (ko) 중합체, 유기막 조성물 및 패턴형성방법
KR101693612B1 (ko) 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR101757809B1 (ko) 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
WO2019093615A1 (ko) 모노머, 중합체, 유기막 조성물 및 패턴 형성 방법
KR101994366B1 (ko) 중합체, 유기막 조성물 및 패턴형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right