TWI610360B - 藉由直流偏壓調節之顆粒產生抑制器 - Google Patents

藉由直流偏壓調節之顆粒產生抑制器 Download PDF

Info

Publication number
TWI610360B
TWI610360B TW103136175A TW103136175A TWI610360B TW I610360 B TWI610360 B TW I610360B TW 103136175 A TW103136175 A TW 103136175A TW 103136175 A TW103136175 A TW 103136175A TW I610360 B TWI610360 B TW I610360B
Authority
TW
Taiwan
Prior art keywords
electrode
top electrode
bias
bias voltage
plasma
Prior art date
Application number
TW103136175A
Other languages
English (en)
Other versions
TW201530651A (zh
Inventor
白宗薰
朴秀南
陳興隆
路柏曼斯基迪米奇
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201530651A publication Critical patent/TW201530651A/zh
Application granted granted Critical
Publication of TWI610360B publication Critical patent/TWI610360B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Abstract

本揭示案的實施例大體上係關於用於減少處理腔室中的顆粒產生之設備與方法。在一實施例中,該方法大體上包括:在一供電的頂部電極與一接地的底部電極之間產生一電漿,其中該頂部電極平行於該底部電極;以及在一膜沉積處理期間施加一恆定為零的DC偏壓電壓至該供電的頂部電極,以最小化該供電的頂部電極與該電漿之間的該電位差及/或該接地的底部電極與該電漿之間的該電位差。最小化該電漿與該等電極之間的該電位差可減少顆粒產生,因為該等電極的該鞘區域中的該等離子的加速係減少,且該等離子與該等電極上的保護塗覆層之碰撞力係最小化。因此,減少基板表面上的顆粒產生。

Description

藉由直流偏壓調節之顆粒產生抑制器
本揭示案的實施例大體上係關於用於減少處理腔室中的顆粒產生之設備與方法。
在半導體裝置的製造中,電漿腔室通常用於執行各種製造處理,例如蝕刻、化學氣相沉積(CVD)、與濺射。通常,真空泵維持腔室內非常低的壓力,同時處理氣體的混合物持續流入腔室,且電性電源激發氣體成電漿狀態。處理氣體混合物的組成經過選擇,以達到所欲的製造處理。
已經觀察到,一個傳統的電漿處理腔室設計(其中電漿產生於位於氣體分配板之上的兩平行電極之間)會導致非所欲的顆粒汙染於基板表面上,因為電極的離子撞擊。在需要高RF輸入功率(例如,高於550W)的沉積處理中,一旦電漿已經產生,高的自感應負DC偏壓也自然而然建立於供電電極處。電漿與自感應負DC偏壓之間的電位差形成鞘電壓於供電電極處或附近。此鞘電壓導致電漿內的正離子加速朝向供電電極,導致供電電極的離子撞擊。在供電電極包括保 護塗覆層的實例中,保護塗覆層的一部分會由於離子撞擊而變成薄片,且汙染基板表面。雖然低輸入功率可用於產生電漿(以及因此減少顆粒汙染),但是會降低膜沉積速率,這接著會降低處理生產量。
因此,本領域中需要一種設備與處理,可以有效地減少基板表面上的汙染顆粒的產生,且維持高處理生產量(即使是利用高電漿功率),而不會顯著增加處理或硬體成本。
本揭示案的實施例大體上係關於用於減少處理腔室中的顆粒產生之設備與方法。在一實施例中,提供用於減少處理腔室中的顆粒產生之方法。該方法包括:在一頂部電極與一底部電極之間產生一電漿,其中該頂部電極實質上平行於該底部電極;以及在一膜沉積處理期間施加一恆定為零的DC偏壓電壓至該頂部電極。
在另一實施例中,提供用於減少處理腔室中的顆粒產生之方法。該方法包括:在一頂部電極與一底部電極之間產生一電漿,其中該頂部電極實質上平行於該底部電極;監測在該頂部電極處產生的一DC偏壓電壓,以獲得一DC偏壓回授信號;根據該DC偏壓回授信號來控制在該頂部電極處的一DC偏壓電壓極性,以在一膜沉積處理期間調整該頂部電極與該電漿之間的電位差及/或該底部電極與該電漿之間的電位差。
在又另一實施例中,提供用於處理一基板的一種設備。該設備包括:一腔室主體;一蓋組件,該蓋組件設置於 該腔室主體之上,該蓋組件包括一頂部電極與一底部電極,該底部電極係定位成實質上平行於該頂部電極;一氣體分配板,該氣體分配板設置於一基板處理區域與該蓋組件之間;以及一基板支座,該基板支座設置於該腔室主體內,該基板支座支撐一基板於該基板處理區域中;其中該頂部電極係電連接於一射頻(RF)電源與一DC偏壓調節配置,且該DC偏壓調節配置係配置來在一膜沉積處理期間以一恆定為零的DC偏壓電壓來操作該頂部電極。
100‧‧‧處理腔室
102‧‧‧腔室主體
104‧‧‧蓋組件
106‧‧‧基板支撐組件
108‧‧‧真空泵
110‧‧‧真空埠
112‧‧‧第一電極
114‧‧‧第二電極
116、118‧‧‧元件
120‧‧‧孔腔
122‧‧‧RF電源
124‧‧‧DC電源
126‧‧‧RF濾波器
128‧‧‧氣體入口
130‧‧‧基板
131‧‧‧通孔
132‧‧‧阻隔板
133、135‧‧‧通孔
134‧‧‧氣體分配板
136‧‧‧控制器
137‧‧‧通道
138‧‧‧基板支座
140‧‧‧致動器
142‧‧‧軸部
144‧‧‧功率控制器
146‧‧‧匹配網路
150‧‧‧DC偏壓調節配置
152‧‧‧基板處理區域
500‧‧‧DC偏壓調節配置
522‧‧‧RF電源
524‧‧‧匹配網路
528‧‧‧芯元件
530‧‧‧線圈
因此,藉由參照實施例,可更詳細瞭解本揭示案之上述特徵,且對簡短總結於上的本揭示案有更具體的敘述,某些實施例是例示於所附圖式中。但是,注意到,所附圖式只例示本揭示案之一般實施例且因此不視為限制其範圍,因為本揭示案可容許其他等效實施例。
第1圖根據本揭示案的實施例,為處理腔室的示意橫剖面視圖,處理腔室可用於處理半導體基板。
第2圖根據本揭示案的實施例,繪示DC偏壓方案(1)-(5)的顆粒量測。
第3圖根據本揭示案的實施例,繪示DC偏壓方案(6)-(9)的顆粒量測。
第4圖根據本揭示案的一實施例,為圖表,繪示不同DC偏壓電壓時第一電極(FP)與第二電極(SMD)上所量測到的離子能量變化。
第5圖根據本揭示案的實施例,繪示第1圖的處理腔室 的示意橫剖面視圖,繪示耦接於DC偏壓調節配置的蓋組件。
為了促進瞭解,已經在任何可能的地方使用相同的元件符號來表示圖式中共同的相同元件。可瞭解到,一實施例中揭示的元件可有利地用於其他實施例中,而不用具體詳述。
本揭示案的實施例大體上係關於用於減少處理腔室中的顆粒產生之設備與方法。該方法大體上包括:在頂部電極與底部電極之間產生電漿,以及施加一零DC偏壓電壓至該頂部電極,使得該頂部電極在膜沉積處理期間係利用恆定為零的DC偏壓電壓來操作。在另一實施例中,提供用於處理基板的一種設備。該設備大體上包括蓋組件,蓋組件設置於腔室主體之上。蓋組件具有供電的頂部電極與接地的底部電極(設置成平行於供電的頂部電極),界定電漿空間於其間。低通濾波器設置於蓋組件與RF電源之間並且配置來導引DC偏壓至地,使得頂部電極(RF致熱的)在膜沉積處理期間係以恆定為零的DC偏壓電壓來操作。
施加零DC偏壓電壓至頂部電極可最小化供電的頂部電極與電漿之間的電位差或接地的底部電極與電漿之間的電位差,否則該電位差會導致基板表面上的顆粒汙染,因為保護塗覆層的離子撞擊於供電的頂部電極或接地的底部電極上。本揭示案的細節與各種實施係討論於下。
範例性腔室硬體
第1圖根據本揭示案的實施例,為處理腔室100的示意橫剖面視圖,處理腔室100可用於處理半導體基板130。 處理腔室100對於執行熱或電漿型處理會特別有用。處理腔室100大體上包括:腔室主體102;蓋組件104,蓋組件104設置於腔室主體102之上;以及基板支撐組件106,基板支撐組件106部分設置於腔室主體102內。蓋組件104設置於基板處理區域152(具有基板130設置於其中)之上,並且藉由氣體分配板134與選擇性的阻隔板132而與基板處理區域152分隔。每一阻隔板132(若有使用)與氣體分配板134具有個別的通孔133、135,以允許來自蓋組件104的電漿通過至基板處理區域152。真空系統可用於從處理腔室100移除氣體。真空系統包括真空泵108係耦接於真空埠110,真空埠110設置於腔室主體102中。處理腔室100可額外包括控制器136,用於控制處理腔室100內的處理。
蓋組件104包括第一電極112,第一電極112設置成較高於第二電極114。第一電極112與第二電極114形成一對平行的電極。第一與第二電極112、114可由高摻雜矽或金屬製成,例如鋁、不鏽鋼等。第一與第二電極112、114可塗覆有保護層,保護層包括氧化鋁或氧化釔。在一實施例中,第一電極112可包括兩個堆疊的元件116、118,其中元件116的一部分可形成由元件118圍繞的截頭圓錐形。堆疊的元件116、118與支撐堆疊的元件116、118之第二電極114界定電漿空間或孔腔120於其間。若需要的話,堆疊的元件116、118可建構為單一、整合的單元。在任一實例中,第一電極112可利用其間的絕緣構件而與第二電極114分隔。
在一實施例中,第一電極112分別連接至射頻(RF) 電源122與DC偏壓調節配置150。RF電源122可以以大約400kHz與大約60MHz之間的頻率操作於大約0W與大約3000W之間。在一範例中,RF電源122操作於13.56MHz的頻率。DC偏壓調節配置150可包括DC電源124、耦接於DC電源124的RF濾波器126、與功率控制器144。RF濾波器126係配置來防止RF信號(例如,來自RF電源122的信號)進入且損害DC電源124。功率控制器144係耦接於DC電源124,並且配置來根據傳送自第一電極112的DC偏壓回授信號而設定一設定點給DC電源124。RF功率(由RF電源122傳送且由匹配網路146微調)引致DC偏壓於第一電極112上,以控制第一電極112的離子撞擊的能量。雖然未圖示,RF電源122可設置於與DC電源124相同的圍繞體中。
第二電極114係接地,藉此形成第一電極112與第二電極114之間的電容值。若需要的話,第二電極114可電性浮接。蓋組件104也可包括一或更多個氣體入口128,用於提供處理氣體依序經由形成於第二電極114中的通孔131、形成於阻隔板132中的通孔133、以及然後形成於氣體分配板134中的通孔135,而至基板130的表面。處理氣體可為蝕刻劑或離子化的活性基(例如離子化的氟、氯、或氨水)、或氧化劑,例如臭氧。在某些實施例中,處理氣體可包括含有NF3與He的電漿。若需要的話,含有上述化學品的遠端電漿可透過分離的氣體入口(未圖示)而引入至處理腔室100中並且引進至氣體分配板134。
基板支撐組件106可包括基板支座138,以在處理 期間支撐其上的基板130。基板支座138可藉由軸部142而耦接於致動器140,軸部142延伸通過形成於腔室主體102的底表面中的中心定位的開孔。致動器140可藉由風箱(未圖示)而撓性密封至腔室主體102,風箱防止真空從軸部142的周圍洩漏。致動器140允許基板支座138垂直移動於腔室主體102內、在處理位置與較低的轉移位置之間。轉移位置稍微低於形成於腔室主體102的側壁中的流量閥的開孔。
基板支座138具有平坦的(或實質上為平坦的)表面,用於支撐將在其上處理的基板130。基板支座138可藉由致動器140而垂直移動於腔室主體102內,致動器140藉由軸部142而耦接於基板支座138。在操作中,基板支座138可升高至很靠近蓋組件104的位置,以控制要處理的基板130的溫度。因此,基板130可透過來自分配板134的對流或發射自分配板134的輻射而加熱。
藉由DC偏壓調節之顆粒產生抑制器
為了減少基板表面上的顆粒汙染(如同本揭示案的先前技術中所述的),發明人利用各種DC偏壓方案(1)-(5)、使用相同的處理製作方法來執行一系列範例性氮化物沉積處理,以決定不同的DC偏壓功率如何影響基板表面上的顆粒數量。範例性氮化物沉積處理係執行於處理腔室中,例如第1圖的處理腔室100。各種DC偏壓方案(1)-(5)(以及相關於第3圖在下面討論的方案(6)-(9))係使用第1圖的DC偏壓調節配置150或第5圖所示的DC偏壓調節配置500來執行。
在各種DC偏壓方案(1)-(5)中,範例性氮化物沉積處理係執行於:大約0.7托耳的腔室壓力時大約300秒、大約575W的RF功率(13.56MHz)、大約20sccm的NF3流率、大約900sccm的N2O流率、大約4000sccm的He流率、大約15℃的第一電極112的溫度、大約70℃的第二電極114的溫度、且第一與第二電極112、114的每一者係塗覆有大約60nm厚的氧化物保護層(例如,氧化釔)。針對每一DC偏壓方案(1)-(5)的顆粒量測係顯示在第2圖中。發明人觀察到,當第二電極114電性接地且沒有DC偏壓電壓施加於第一電極112(亦即,DC電源124在第1圖的處理腔室100中完全沒使用)時,DC偏壓方案(1)例示基板表面上的顆粒數量在沉積處理之後從大約45增加至大約145。顆粒的增加數量相信是電漿中產生的正離子被吸引至第一電極112的結果,第一電極112係處於負電位,因為當電漿產生時在第一電極112上所發展之不可避免的、自感應DC偏壓(大約+31V)。離子加速朝向第一電極112並且在沉積處理期間撞擊第一電極112上的保護塗覆層,導致保護塗覆層的一部分掉落並且汙染基板表面。
DC偏壓方案(3)-(5)例示:當-25V、-75V或-150V的負DC偏壓電壓分別施加於第一電極112時(其中第二電極114電性接地),基板表面上的顆粒的總數量逐漸增加。具體地,DC偏壓方案(3)顯示基板表面上的顆粒數量在沉積處理之後從大約22增加至大約96。DC偏壓方案(4)顯示基板表面上的顆粒數量在沉積處理之後從大約14增加至 大約189。DC偏壓方案(5)顯示基板表面上的顆粒數量在沉積處理之後從大約11增加至飽和的位準。DC偏壓方案(3)-(5)例示出清楚的趨勢係:增加負偏壓電壓至第一電極112會導致基板表面上更多的顆粒產生,主要是因為第一電極112與電漿之間的電位差的逐漸增加。當第一電極112與電漿之間的電位差增加時,第一電極112處的鞘電壓因此增加,這導致第一電極112的鞘區域中的正離子的加速,並且增加離子與第一電極112上的保護塗覆層之碰撞力。因此,觀察到基板表面上更多的顆粒產生。當使用高輸入功率(高於550W)來用於沉積處理時,顆粒產生會變得更問題嚴重,因為較高的輸入功率也會在蓋組件的供電的第一電極112處發展出高的自感應負DC偏壓。此種高的自感應負DC偏壓與第一電極112處的鞘電壓(因為第一電極112與電漿之間的電位差)導致第一電極112上的保護塗覆層的高能量離子撞擊。因此,保護塗覆層的一部分掉落第一電極112並且汙染基板表面。
令人驚訝地,發明人已經觀察到,當施加零DC偏壓電壓至第一電極112時(亦即,在沉積處理期間,第一電極112以恆定為零的DC偏壓電壓操作,而第二電極114電性接地),DC偏壓方案(2)僅導致基板表面上的顆粒數量在沉積處理之後從大約8較少量增加至大約66。DC偏壓方案(2)顯示改良的顆粒減少,從100至大約58,相較於DC偏壓方案(1)來說。事實上,在DC偏壓方案(2)之下的顆粒數量的增加被發現是方案(1)-(5)之中最少的。因此,發明人發現到,藉由在沉積處理期間施加恆定為零的DC偏壓電壓至 第一電極112,基板表面上的顆粒產生會大大被抑制,因為第一電極112(RF熱表面)與電漿之間的電位差(V第一電極-V電漿)減小,這接著減小第一電極112處的鞘電壓(見第4圖)。因此,第一電極112的鞘區域的離子的加速減小,且離子與第一電極112的保護塗覆層之碰撞力最小化。
發明人利用各種DC偏壓方案(6)-(9)、使用如同上面討論的相同處理製作方法來另外執行一系列的氮化物沉積處理,以決定不同的DC偏壓功率(特別是正電壓)如何影響基板表面上的顆粒數量。針對每一DC偏壓方案(6)-(9)的顆粒量測係顯示在第3圖中。發明人觀察到,當沒有DC偏壓電壓施加於第一電極112(亦即,DC電源124在第1圖的處理腔室100中完全沒使用)時,DC偏壓方案(6)例示基板表面上的顆粒數量在沉積處理之後從大約16增加至大約4097。顆粒的增加數量係因為:負DC偏壓的先前損害、電漿與第一電極112上所發展的高的自感應負DC偏壓之間的電位差(導致第一電極112的離子撞擊),且也因為事實上,電漿的電位顯著大於接地的第二電極114的電位,這導致離子撞擊第二電極114上的保護塗覆層(即使在沉積處理期間並沒有DC偏壓電壓施加於第一電極112)。
DC偏壓方案(8)與(9)顯示:當75V與100V的正DC偏壓電壓分別施加於第一電極112時(其中第二電極114電性接地),基板表面上的顆粒的總數量顯著增加。具體地,DC偏壓方案(8)顯示基板表面上的顆粒數量在沉積處理之後從大約27顯著增加至大約9102。DC偏壓方案(9)也 顯示基板表面上的顆粒數量在沉積處理之後從大約11顯著增加至大約3469。DC偏壓方案(8)-(9)例示:增加正DC偏壓電壓至第一電極112會導致基板表面上更多的顆粒產生,主要是因為接地的第二電極114與電漿之間的電位差的較大增加(相較於第一電極112,見第4圖),因為電漿必須假定為正電位,以產生接地的第二電極114處的相等大小的電位,以反映施加於第一電極112的正DC偏壓電壓所導致的較大離子鞘電位。當第二電極114與電漿之間的電位差增加時,第二電極114處的鞘電壓也增加,這導致第二電極114的鞘區域中的離子的加速,並且增加離子與第二電極114上的保護塗覆層之碰撞力。因此,觀察到基板表面上更多的顆粒產生。
類似的,發明人觀察到,當施加零DC偏壓電壓至第一電極112時(亦即,在沉積處理期間,第一電極112以恆定為零的DC偏壓電壓操作),DC偏壓方案(7)例示基板表面上的顆粒數量在沉積處理之後從大約15較少量增加至大約767。DC偏壓方案(7)顯示:即使電極被先前的負DC偏壓損害,施加零DC偏壓電壓仍然改善了顆粒數量,從4081減少至大約752,相較於DC偏壓方案(6)來說。事實上,在DC偏壓方案(7)之下的顆粒數量的增加被發現是方案(6)至(9)之中最少的。因此,發明人發現到,藉由在沉積處理期間施加恆定為零的DC偏壓電壓至第一電極112,基板表面上的顆粒產生會大大被抑制,因為第一電極112與電漿之間的電位差(V第一電極-V電漿)以及電漿與第二電極114(接地 表面)和腔室壁部(接地表面)之間的電位差(V第二電極-V電漿)實質上彼此相等,這導致第一與第二電極112、114處的大約60V的最小鞘電壓(見第4圖)。因此,第一與第二電極112、114兩者經歷到因為高RF輸入功率而來自電漿的實質上相同的離子撞擊。但是,當零DC偏壓電壓施加至第一電極112時,電極112、114兩者上的離子撞擊能量係相對較小於當正或負DC偏壓電壓施加至第一電極112時電極112、114兩者上的離子撞擊能量,如同第4圖所證明的,第4圖為圖表400,根據本揭示案的一實施例繪示不同DC偏壓電壓時第一電極(FP)與第二電極(SMD)上所量測到的離子能量變化。第4圖繪示當零DC偏壓電壓施加至第一電極時,第一與第二電極上所量測到的離子能量為大約60V,這相對較小於當100V或-100V的DC偏壓電壓分別施加至第一電極時第一電極上所量測到的離子能量(大約110V)或第二電極上所量測到的離子能量(大約160V)。
根據上述的DC偏壓方案(1)-(9),發明人已經判定,電極112、114上的保護塗覆層會容易被離子撞擊損傷,其中離子能量主要由第一電極112處的自感應DC偏壓所決定。發明人發現,施加高DC偏壓電壓(無論是正或負的DC偏壓電壓)至第一電極112會導致基板表面上的較高的顆粒汙染。但是,在高功率膜沉積處理期間施加恆定為零的DC偏壓電壓至第一電極112可協助最小化第一電極112(RF致熱的)與電漿之間的電位差(V第一電極-V電漿)或者電漿與第二電極114(接地表面)和腔室壁部(接地表面)之間的電位 差(V第二電極-V電漿),不會對膜沉積分佈有任何顯著的影響。最小化電漿與電極112、114之間的電位差可減少顆粒產生,因為第一與第二電極兩側處的鞘電壓保持為最小,即使當RF輸入功率為高時(高於550W)。因此,離子與第一及第二電極112、114上的保護塗覆層之碰撞力可減小,導致基板表面上的顆粒產生的減少。
若需要的話,DC偏壓電壓可受到調節,以藉由控制DC偏壓電壓極性而控制第一電極112及/或第二電極114上的離子撞擊的數量。為了準確地控制DC偏壓,使用功率控制器(例如,第1圖繪示的功率控制器144)、根據例如腔室配置、電極的表面積、化學與處理狀況、傳送自第一電極112的DC偏壓回授信號等其他因素,或者根據電極的塗覆品質,可執行封閉迴路的DC偏壓調節。例如,若第一電極112具有較弱的保護塗覆層(因為其截頭圓錐形會自然地無法促成強的塗覆),且第二電極114具有較強的保護塗覆層,稍微正的DC偏壓可傳送至第一電極112,以減少第一電極112上的撞擊。在一範例實施例中,功率控制器144可配置來監測第一電極112(RF致熱的)上的自感應DC偏壓,而不用施加DC偏壓電壓至第一電極112。根據DC偏壓回授,在沉積處理期間施加適當的DC偏壓電壓至第一電極112。DC偏壓電壓可為零或可受到調整,以藉由控制DC偏壓電壓極性而控制第一電極112及/或第二電極114上的離子撞擊的數量,如同上面討論的。
可實施各種方法來另外增進基板表面上的顆粒產生 的減少。例如,在某些實施例中,接合/黏著材料可用於保護塗覆層與下方電極之間,以提供較強的保護塗覆層。接合/黏著材料對於第一電極112特別有利,因為第一電極112可能具有較弱的塗覆品質(因為其截頭圓錐形會自然地無法促成強的塗覆),而第二電極114可能具有遠遠較佳的塗覆品質(因為第二電極114具有通孔131在底部處,通孔131會促成較強的塗覆性能來承受離子撞擊)。在某些實施例中,氣體分配板134可受到有效的冷卻處理(至不影響處理性能的程度),以在沉積處理期間降低第二電極114的溫度。這是因為在處理期間第二電極114加熱升溫且冷卻下來,且設置於其上的保護塗覆層會經歷來自此種溫度循環的熱應力,導致增加的顆粒產生。降低第二電極114的溫度(例如,藉由使冷卻流體流動通過形成於氣體分配板134中的通道137)可減少第二電極114的溫度變化,藉此促進基板表面上的顆粒產生的減少。
施加恆定為零的DC偏壓電壓至蓋組件104(蓋組件104限制電漿的發光放電區域)的供電電極來減少顆粒汙染可以用多種方法實現,例如第5圖繪示的一個方法。第5圖根據本揭示案的實施例,繪示第1圖的處理腔室100的示意橫剖面視圖,繪示耦接於DC偏壓調節配置500的蓋組件104。
在一實施例中,第一電極112分別電連接於射頻(RF)電源522與DC偏壓調節配置500。DC偏壓調節配置500可設置於蓋組件104之外的任何位置處,例如第一電極112與地之間的位置處。雖然未圖示,RF電源522可設置於 與DC偏壓調節配置500相同的圍繞體中。DC偏壓調節配置500大體上作用為低通濾波器,配置來導引在第一電極112處產生的自感應DC偏壓及/或任何DC偏壓至地,同時防止RF功率(由RF電源522傳送且由匹配網路524微調)進入地,但是反而去到第一電極112。因為第一電極112的DC偏壓係導引至地,第一電極112在沉積處理期間可維持在地的電位(亦即,第一電極112處的DC偏壓電壓係恆定地保持於零),而不管RF輸入功率或處理為何。因此,第一電極112(RF致熱的)與電漿之間的電位差(V第一電極-V電漿)或者電漿與第二電極114(接地表面)和腔室壁部(接地表面)之間的電位差(V第二電極-V電漿)係減小或最小化。如同上面相關於第2圖-第4圖討論的,最小化電漿與電極112、114之間的電位差可減少顆粒產生,不會對膜沉積分佈有任何顯著的影響,因為第一與第二電極兩側處的鞘電壓係保持為最小。因此,離子與形成於第一及第二電極112、114上的保護塗覆層之碰撞力可減小,導致基板表面上的顆粒產生的減少。
在第5圖繪示的一實施例中,DC偏壓調節配置500大體上包括芯元件528與線圈530,線圈530纏繞於芯元件528的一部分的周圍。線圈530可平均分佈於芯元件528的長度之上,以獲得DC偏壓的感應效應的增加。因為芯元件528係用於增進感應效應,線圈530本身可用於導引DC偏壓電壓,而在某些實施例中不需要芯元件528存在於DC偏壓調節配置500中。芯元件528可包括高導磁性的桿或管,例如亞鐵桿,但是可為可用於較低頻率的其他磁性材料,取決於耦 接的結構。在一實施例中,芯元件528可具有大約3吋至大約8吋的長度(例如大約5吋),以及大約0.2吋至大約2吋的直徑(例如大約1吋)。
產生的DC偏壓調節配置500在13.56MHz的頻率時可具有大約50db的功率衰減以及大約22uH的電感值(等於大約1900歐姆的電阻值),這對於RF信號提供了高阻抗,且因此RF信號無法通過DC偏壓調節配置500而進入地。但是,此種高值的電阻值係視為對於DC信號為電性關路。換句話說,DC偏壓調節配置500對於DC偏壓電壓不具有阻抗。
雖然芯元件528與線圈530係例示為DC偏壓調節配置500的範例,這些元件不打算限制本文所述的揭示內容的範圍。反而,可配置為低通濾波器或帶通濾波器(以單階或多階的配置)來截止所欲的頻率之任何電子元件或電路都可設想到,只要該電子元件或電路可以對於RF信號提供高阻抗路徑,且對於來自第一電極112的DC信號提供低或無阻抗路徑至地。
總結來說,藉由施加恆定為零的DC偏壓電壓至蓋組件(蓋組件設置於腔室主體的基板處理區域之上)的供電電極(供電電極平行於接地電極,以界定電漿的發光放電區域)來最小化供電電極與電漿之間的電位差或者接地電極與電漿之間的電位差,可實現減少處理腔室中的顆粒產生之實施例。最小化電極與電漿之間的電位差可減少顆粒產生,因為該等電極的鞘區域中的離子的加速係減小,且離子與電極上的保護塗覆層之碰撞力係最小化。因此,減少基板表面上 的顆粒產生。
雖然前述是關於本揭示案之實施例,本揭示案之其他與進一步實施例可被設想出而無偏離其基本範圍,且其範圍是由下面的申請專利範圍來決定。
100‧‧‧處理腔室
102‧‧‧腔室主體
104‧‧‧蓋組件
106‧‧‧基板支撐組件
108‧‧‧真空泵
110‧‧‧真空埠
112‧‧‧第一電極
114‧‧‧第二電極
116、118‧‧‧元件
120‧‧‧孔腔
128‧‧‧氣體入口
130‧‧‧基板
132‧‧‧阻隔板
133、135‧‧‧通孔
134‧‧‧氣體分配板
136‧‧‧控制器
137‧‧‧通道
138‧‧‧基板支座
140‧‧‧致動器
142‧‧‧軸部
500‧‧‧DC偏壓調節配置
522‧‧‧RF電源
524‧‧‧匹配網路
528‧‧‧芯元件
530‧‧‧線圈

Claims (20)

  1. 一種方法,用於減少一處理腔室中的顆粒產生,該方法包括以下步驟:在一頂部電極與一底部電極之間產生一電漿;及在一膜沉積處理期間施加一恆定為零的DC偏壓電壓至該頂部電極。
  2. 如請求項1所述之方法,其中該電漿係藉由大約550W或更高的一射頻(RF)輸入功率而產生。
  3. 如請求項1所述之方法,其中該頂部電極與該底部電極係設置於設有一基板的一處理區域之上。
  4. 如請求項1所述之方法,其中該底部電極係電性接地。
  5. 如請求項1所述之方法,其中該施加一恆定為零的DC偏壓電壓至該頂部電極係藉由耦接於一DC電源的一功率控制器來控制。
  6. 如請求項1所述之方法,其中該頂部電極及/或該底部電極係塗覆有一保護層,該保護層包括氧化鋁或氧化釔。
  7. 一種方法,用於減少一處理腔室中的顆粒產生,該方法包括以下步驟: 在一頂部電極與一底部電極之間產生一電漿;監測在該頂部電極處產生的一DC偏壓電壓,以獲得一DC偏壓回授信號;及根據該DC偏壓回授信號來控制在該頂部電極處的一DC偏壓電壓極性,以在一膜沉積處理期間調整該頂部電極與該電漿之間的電位差及/或該底部電極與該電漿之間的電位差。
  8. 如請求項7所述之方法,其中該控制在該頂部電極處的一DC偏壓電壓極性之步驟包括以下步驟:利用一恆定為零的DC偏壓電壓來操作該頂部電極。
  9. 如請求項8所述之方法,其中該利用一恆定為零的DC偏壓電壓來操作該頂部電極係藉由施加一零DC偏壓電壓至該頂部電極而執行。
  10. 如請求項8所述之方法,其中該利用一恆定為零的DC偏壓電壓來操作該頂部電極係藉由從該頂部電極導引一DC偏壓電壓至地而執行。
  11. 如請求項7所述之方法,其中該頂部電極與該底部電極係設置於設有一基板的一處理區域之上。
  12. 一種設備,用於處理一基板,該設備包括:一腔室主體; 一蓋組件,該蓋組件設置於該腔室主體之上,該蓋組件包括一頂部電極與一底部電極,該底部電極係定位成實質上平行於該頂部電極;一氣體分配板,該氣體分配板設置於一基板處理區域與該蓋組件之間;及一基板支座,該基板支座設置於該腔室主體內,該基板支座支撐一基板於該基板處理區域中;其中該頂部電極係電連接於一射頻(RF)電源與一DC偏壓調節配置,且該DC偏壓調節配置係配置來在一膜沉積處理期間以一恆定為零的DC偏壓電壓來操作該頂部電極。
  13. 如請求項12所述之設備,其中該DC偏壓調節配置係設置於該頂部電極與地之間。
  14. 如請求項13所述之設備,其中該DC偏壓調節配置包括一DC電源與一功率控制器係配置來施加一恆定為零的DC偏壓電壓至該頂部電極。
  15. 如請求項14所述之設備,其中該DC偏壓調節配置進一步包括一RF濾波器,該RF濾波器耦接於該DC電源並且配置來防止來自該RF電源的RF信號進入該DC電源。
  16. 如請求項13所述之設備,其中該DC偏壓調節配置包括一電子元件係配置來導引在該頂部電極處產生的一DC偏壓 至地。
  17. 如請求項16所述之設備,其中該電子元件包括以一單階或多階配置的一低通濾波器或帶通濾波器。
  18. 如請求項17所述之設備,其中該電子元件對於來自該RF電源的RF信號提供一高阻抗路徑,且該電子元件對於來自該頂部電極的DC信號提供一低或無阻抗路徑。
  19. 如請求項16所述之設備,其中該電子元件包括一芯元件與一線圈,該線圈纏繞於該芯元件的一部分的周圍。
  20. 如請求項19所述之設備,其中該芯元件包括一高導磁性的桿或管。
TW103136175A 2013-11-06 2014-10-20 藉由直流偏壓調節之顆粒產生抑制器 TWI610360B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361900838P 2013-11-06 2013-11-06
US61/900,838 2013-11-06
US14/514,930 US9593421B2 (en) 2013-11-06 2014-10-15 Particle generation suppressor by DC bias modulation
US14/514,930 2014-10-15

Publications (2)

Publication Number Publication Date
TW201530651A TW201530651A (zh) 2015-08-01
TWI610360B true TWI610360B (zh) 2018-01-01

Family

ID=53006533

Family Applications (3)

Application Number Title Priority Date Filing Date
TW103136175A TWI610360B (zh) 2013-11-06 2014-10-20 藉由直流偏壓調節之顆粒產生抑制器
TW107126625A TWI694749B (zh) 2013-11-06 2014-10-20 藉由直流偏壓調節之顆粒產生抑制器
TW106140271A TWI688312B (zh) 2013-11-06 2014-10-20 藉由直流偏壓調節之顆粒產生抑制器

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW107126625A TWI694749B (zh) 2013-11-06 2014-10-20 藉由直流偏壓調節之顆粒產生抑制器
TW106140271A TWI688312B (zh) 2013-11-06 2014-10-20 藉由直流偏壓調節之顆粒產生抑制器

Country Status (6)

Country Link
US (3) US9593421B2 (zh)
JP (2) JP2017504955A (zh)
KR (2) KR102133895B1 (zh)
CN (3) CN105793955B (zh)
TW (3) TWI610360B (zh)
WO (1) WO2015069428A1 (zh)

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
KR102133895B1 (ko) * 2013-11-06 2020-07-15 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
US10892140B2 (en) * 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6542053B2 (ja) * 2015-07-15 2019-07-10 株式会社東芝 プラズマ電極構造、およびプラズマ誘起流発生装置
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
JP6640608B2 (ja) * 2016-03-02 2020-02-05 東京エレクトロン株式会社 基板処理装置
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
WO2017209900A1 (en) * 2016-06-03 2017-12-07 Applied Materials, Inc. A vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates
KR102196746B1 (ko) * 2016-06-03 2020-12-30 어플라이드 머티어리얼스, 인코포레이티드 반도체 기판들에서 탄소 오염물질들 및 표면 산화물을 제거하기 위한 프로세스 챔버들을 갖는 진공 플랫폼
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10396601B2 (en) * 2017-05-25 2019-08-27 Mks Instruments, Inc. Piecewise RF power systems and methods for supplying pre-distorted RF bias voltage signals to an electrode in a processing chamber
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
PL3711080T3 (pl) 2017-11-17 2023-12-11 Aes Global Holdings, Pte. Ltd. Zsynchronizowane pulsowanie źródła przetwarzania plazmy oraz polaryzacji podłoża
CN111788654B (zh) 2017-11-17 2023-04-14 先进工程解决方案全球控股私人有限公司 等离子体处理系统中的调制电源的改进应用
EP3711081B1 (en) 2017-11-17 2024-06-19 AES Global Holdings, Pte. Ltd. Spatial and temporal control of ion bias voltage for plasma processing
TWI793218B (zh) * 2017-12-16 2023-02-21 美商應用材料股份有限公司 使用低頻偏壓作介電膜的幾何選擇性沉積的處理腔室及方法
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10770257B2 (en) * 2018-07-20 2020-09-08 Asm Ip Holding B.V. Substrate processing method
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US20200048770A1 (en) * 2018-08-07 2020-02-13 Lam Research Corporation Chemical vapor deposition tool for preventing or suppressing arcing
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7105180B2 (ja) * 2018-12-06 2022-07-22 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR102623545B1 (ko) * 2018-12-17 2024-01-10 삼성전자주식회사 반도체 소자 제조 장치
KR20210099153A (ko) * 2018-12-21 2021-08-11 에바텍 아크티엔게젤샤프트 하나 이상의 기판을 진공 플라즈마 처리하거나 기판을 제조하기 위한 진공 처리 장치 및 방법
JP7345382B2 (ja) * 2018-12-28 2023-09-15 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
JP7499656B2 (ja) * 2020-09-09 2024-06-14 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の高周波電力印加方法
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
JP2022143281A (ja) * 2021-03-17 2022-10-03 キオクシア株式会社 基板処理装置及び基板の処理方法
US11863089B2 (en) 2021-03-26 2024-01-02 Applied Materials, Inc. Live measurement of high voltage power supply output
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4816126A (en) * 1985-05-13 1989-03-28 Nippon Telegraph And Telephone Corporation Method for forming a planarized thin film
US5980999A (en) * 1995-08-24 1999-11-09 Nagoya University Method of manufacturing thin film and method for performing precise working by radical control and apparatus for carrying out such methods
US6356097B1 (en) * 1997-06-20 2002-03-12 Applied Materials, Inc. Capacitive probe for in situ measurement of wafer DC bias voltage

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3753092A (en) 1971-04-08 1973-08-14 Johanna Plastics Inc Liquid testing device for measuring changes in dielectric properties
JPS6196723A (ja) * 1984-10-17 1986-05-15 Agency Of Ind Science & Technol プラズマcvd装置
JPS62142767A (ja) * 1985-12-17 1987-06-26 Sharp Corp 電子写真感光体の製造方法
JPH02181926A (ja) * 1989-01-09 1990-07-16 Seiko Epson Corp プラズマ陽極酸化法
DE69017744T2 (de) * 1989-04-27 1995-09-14 Fuji Electric Co Ltd Gerät und Verfahren zur Bearbeitung einer Halbleitervorrichtung unter Verwendung eines durch Mikrowellen erzeugten Plasmas.
JPH049465A (ja) * 1990-04-27 1992-01-14 Ube Ind Ltd 薄膜処理装置の直流電位制御方法および装置
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JPH08227874A (ja) * 1995-02-21 1996-09-03 Mitsubishi Electric Corp 真空処理装置および真空処理方法
JPH08255758A (ja) * 1995-03-15 1996-10-01 Toshiba Corp プラズマ気相成長装置
EP0977246A3 (en) * 1998-07-31 2005-11-09 Canon Kabushiki Kaisha Production process of semiconductor layer, fabrication process of photovoltaic cell and production apparatus of semiconductor layer
JP3658249B2 (ja) * 1998-07-31 2005-06-08 キヤノン株式会社 半導体層の製造方法、光起電力素子の製造方法及び半導体層の製造装置
US6430403B1 (en) * 1999-06-10 2002-08-06 Lucent Technologies Inc. Temperature compensated, zero bias RF detector circuit
JP2001023955A (ja) * 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
JP3411539B2 (ja) * 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
WO2001082404A1 (en) * 2000-04-20 2001-11-01 Paratek Microwave, Inc. Waveguide-finline tunable phase shifter
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
KR101001743B1 (ko) * 2003-11-17 2010-12-15 삼성전자주식회사 헬리컬 자기-공진 코일을 이용한 이온화 물리적 기상 증착장치
US7193173B2 (en) * 2004-06-30 2007-03-20 Lam Research Corporation Reducing plasma ignition pressure
JP2007096051A (ja) * 2005-09-29 2007-04-12 Samco Inc カソードカップリング型プラズマcvd装置及びそれによる薄膜製造方法
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US7572737B1 (en) * 2006-06-30 2009-08-11 Lam Research Corporation Apparatus and methods for adjusting an edge ring potential substrate processing
US20080084650A1 (en) * 2006-10-04 2008-04-10 Applied Materials, Inc. Apparatus and method for substrate clamping in a plasma chamber
JP4943879B2 (ja) * 2007-01-31 2012-05-30 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5209482B2 (ja) * 2007-02-09 2013-06-12 キヤノンアネルバ株式会社 酸化処理方法
JP5199595B2 (ja) 2007-03-27 2013-05-15 東京エレクトロン株式会社 プラズマ処理装置及びそのクリーニング方法
CA2686445C (en) * 2007-05-25 2015-01-27 Oerlikon Trading Ag, Truebbach Vacuum treatment installation and vacuum treatment method
US9123509B2 (en) * 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US20090056877A1 (en) * 2007-08-31 2009-03-05 Tokyo Electron Limited Plasma processing apparatus
JP5231038B2 (ja) * 2008-02-18 2013-07-10 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法、ならびに記憶媒体
US9856558B2 (en) * 2008-03-14 2018-01-02 Applied Materials, Inc. Physical vapor deposition method with a source of isotropic ion velocity distribution at the wafer surface
DE602008005858D1 (de) * 2008-03-20 2011-05-12 Univ Ruhr Bochum Verfahren zur Steuerung der Ionenenergie in Radiofrequenzplasmen
JP5683469B2 (ja) 2008-10-09 2015-03-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 大型プラズマ処理チャンバのrf復路
JP5390846B2 (ja) * 2008-12-09 2014-01-15 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマクリーニング方法
US7749917B1 (en) * 2008-12-31 2010-07-06 Applied Materials, Inc. Dry cleaning of silicon surface for solar cell applications
JP5683822B2 (ja) * 2009-03-06 2015-03-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置用の電極
US8771538B2 (en) 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
JP2011162830A (ja) * 2010-02-09 2011-08-25 Fuji Electric Co Ltd プラズマcvdによる成膜方法、成膜済基板および成膜装置
JP5571996B2 (ja) * 2010-03-31 2014-08-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP2011238747A (ja) * 2010-05-10 2011-11-24 Shimadzu Corp プラズマcvd成膜装置および高周波電圧の印加方法
TWI470776B (zh) * 2011-12-29 2015-01-21 Ind Tech Res Inst 光偵測陣列結構與光偵測模組
US9881772B2 (en) * 2012-03-28 2018-01-30 Lam Research Corporation Multi-radiofrequency impedance control for plasma uniformity tuning
KR102133895B1 (ko) * 2013-11-06 2020-07-15 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4816126A (en) * 1985-05-13 1989-03-28 Nippon Telegraph And Telephone Corporation Method for forming a planarized thin film
US5980999A (en) * 1995-08-24 1999-11-09 Nagoya University Method of manufacturing thin film and method for performing precise working by radical control and apparatus for carrying out such methods
US6356097B1 (en) * 1997-06-20 2002-03-12 Applied Materials, Inc. Capacitive probe for in situ measurement of wafer DC bias voltage

Also Published As

Publication number Publication date
US20170236689A1 (en) 2017-08-17
KR20180088496A (ko) 2018-08-03
JP2017504955A (ja) 2017-02-09
KR20160083913A (ko) 2016-07-12
CN109166782B (zh) 2020-08-07
KR102152811B1 (ko) 2020-09-07
WO2015069428A1 (en) 2015-05-14
TWI688312B (zh) 2020-03-11
US9892888B2 (en) 2018-02-13
TWI694749B (zh) 2020-05-21
US9593421B2 (en) 2017-03-14
CN108922844A (zh) 2018-11-30
CN109166782A (zh) 2019-01-08
TW201907755A (zh) 2019-02-16
JP2019024090A (ja) 2019-02-14
TW201530651A (zh) 2015-08-01
CN105793955B (zh) 2019-09-13
KR102133895B1 (ko) 2020-07-15
JP6651581B2 (ja) 2020-02-19
US20170148611A1 (en) 2017-05-25
US10504697B2 (en) 2019-12-10
TW201826886A (zh) 2018-07-16
US20150123541A1 (en) 2015-05-07
CN105793955A (zh) 2016-07-20

Similar Documents

Publication Publication Date Title
TWI610360B (zh) 藉由直流偏壓調節之顆粒產生抑制器
KR102195550B1 (ko) 플라즈마 처리 장치
KR102418244B1 (ko) 에칭 방법
US8641916B2 (en) Plasma etching apparatus, plasma etching method and storage medium
TWI460786B (zh) A plasma processing apparatus, a plasma processing method, and a memory medium
TWI505354B (zh) Dry etching apparatus and dry etching method
KR102260339B1 (ko) 반도체 장치의 제조 방법
US20080236750A1 (en) Plasma processing apparatus
JP4143684B2 (ja) プラズマドーピング方法及び装置
TW201642303A (zh) 電漿處理裝置及電漿處理方法
US20150170932A1 (en) Etching method
US9583313B2 (en) Plasma processing apparatus and plasma processing method
KR20190035577A (ko) 플라즈마 처리 장치
JP6486092B2 (ja) プラズマエッチング方法
KR100592241B1 (ko) 유도결합형 플라즈마 처리장치

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees